Risikesvar G
Published © GPL3+

Complete Beginner's Guide to FPGA: Adder Using Block Design

A Tutorial on how to use a FPGA and a overview on the basics of FPGA, it's uses, and how to work with one using Vivado Design Suite

BeginnerFull instructions provided1 hour266
Complete Beginner's Guide to FPGA: Adder Using Block Design

Things used in this project

Hardware components

Tria Technologies 12V DC Power Supply
×1
Tria Technologies Micro USB cable
×1
Tria Technologies ZedBoard
×1

Software apps and online services

Vivado Design Suite
AMD Vivado Design Suite

Story

Read more

Schematics

Block Design Diagram for Adder

Code

XDC for ZedBoard Adder Circuit

Tcl
The XDC file for ZedBoard's Adder Circuits
set_property PACKAGE_PIN Y9 [get_ports clk_0]
set_property IOSTANDARD LVCMOS33 [get_ports clk_0]

set_property PACKAGE_PIN U14 [get_ports Cout]
set_property IOSTANDARD LVCMOS33 [get_ports Cout]
set_property PACKAGE_PIN T22 [get_ports {Sum[0]}]
set_property PACKAGE_PIN T21 [get_ports {Sum[1]}]
set_property PACKAGE_PIN U22 [get_ports {Sum[2]}]
set_property PACKAGE_PIN U21 [get_ports {Sum[3]}]
set_property PACKAGE_PIN V22 [get_ports {Sum[4]}]
set_property PACKAGE_PIN W22 [get_ports {Sum[5]}]
set_property PACKAGE_PIN U19 [get_ports {Sum[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {Sum[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {Sum[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {Sum[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {Sum[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {Sum[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {Sum[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {Sum[0]}]
set_property C_CLK_INPUT_FREQ_HZ 300000000 [get_debug_cores dbg_hub]
set_property C_ENABLE_CLK_DIVIDER false [get_debug_cores dbg_hub]
set_property C_USER_SCAN_CHAIN 1 [get_debug_cores dbg_hub]
connect_debug_port dbg_hub/clk [get_nets clk_0_IBUF_BUFG]

Credits

Risikesvar G
1 project • 0 followers
I try to find interesting stuff to do. And I try to find those stuff within the Domain of Electronics.
Contact

Comments

Please log in or sign up to comment.