Victor Altamirano
Published © MIT

Intel-FPGA-Quartus

Example of how to program an Altera FPGA with Intel Quartus and VHDL.

BeginnerProtip1 hour277
Intel-FPGA-Quartus

Things used in this project

Hardware components

Intel Storm_IV_XX_V2.0
×1

Software apps and online services

Intel Quartus Prime Lite

Story

Read more

Schematics

Main Schematic PDF

Code

Main Repo

Credits

Victor Altamirano

Victor Altamirano

25 projects • 81 followers
I am a Biomedical engineer who likes to develop hardware and software solutions.

Comments