Hardware components | ||||||
![]() |
| × | 1 | |||
Software apps and online services | ||||||
![]() |
| |||||
![]() |
|
SOC Design Using Cortex-M0 IP
Read moreTo implement a SOC we would be using the Cortex-M0 IP provided for free by ARM. Then we would be developing peripherals like Memory, UART, VGA and would be integrating them with the processor using the AMBA3 AHB-Lite protocol.
Once this is done we would be using the Vivado Design suite to implement the design on an FPGA.
module UART_RX(
input wire clk,
input wire resetn,
input wire b_tick, //Baud generator tick
input wire rx, //RS-232 data port
output reg rx_done, //transfer completed
output wire [7:0] dout //output data
);
//STATE DEFINES
localparam [1:0] idle_st = 2'b00;
localparam [1:0] start_st = 2'b01;
localparam [1:0] data_st = 2'b11;
localparam [1:0] stop_st = 2'b10;
//Internal Signals
reg [1:0] current_state;
reg [1:0] next_state;
reg [3:0] b_reg; //baud-rate/over sampling counter
reg [3:0] b_next;
reg [2:0] count_reg; //data-bit counter
reg [2:0] count_next;
reg [7:0] data_reg; //data register
reg [7:0] data_next;
//State Machine
always @ (posedge clk, negedge resetn)
begin
if(!resetn)
begin
current_state <= idle_st;
b_reg <= 0;
count_reg <= 0;
data_reg <=0;
end
else
begin
current_state <= next_state;
b_reg <= b_next;
count_reg <= count_next;
data_reg <= data_next;
end
end
//Next State Logic
always @*
begin
next_state = current_state;
b_next = b_reg;
count_next = count_reg;
data_next = data_reg;
rx_done = 1'b0;
case(current_state)
idle_st:
if(~rx)
begin
next_state = start_st;
b_next = 0;
end
start_st:
if(b_tick)
if(b_reg == 7)
begin
next_state = data_st;
b_next = 0;
count_next = 0;
end
else
b_next = b_reg + 1'b1;
data_st:
if(b_tick)
if(b_reg == 15)
begin
b_next = 0;
data_next = {rx, data_reg [7:1]};
if(count_next ==7) // 8 Data bits
next_state = stop_st;
else
count_next = count_reg + 1'b1;
end
else
b_next = b_reg + 1;
stop_st:
if(b_tick)
if(b_reg == 15) //One stop bit
begin
next_state = idle_st;
rx_done = 1'b1;
end
else
b_next = b_reg + 1;
endcase
end
assign dout = data_reg;
endmodule
//////////////////////////////////////////////////////////////////////////////////
//END USER LICENCE AGREEMENT //
// //
module vga_console(
input wire clk,
input wire resetn,
input wire [9:0] pixel_x,
input wire [9:0] pixel_y,
input wire font_we, //font write
input wire [7:0] font_data, //input 7-bit ascii value
output reg [7:0] text_rgb, //output color
output reg scroll //signals scrolling
);
//Screen tile parameters
localparam MAX_X = 30; //Number of horizontal tiles
localparam MAX_Y = 30; //Number of tile rows
//Font ROM
wire [10:0] rom_addr;
wire [6:0] char_addr;
wire [3:0] row_addr;
wire [2:0] bit_addr;
wire [7:0] font_word;
wire font_bit;
//Dual port RAM
wire [11:0] addr_r;
wire [11:0] addr_w;
wire [6:0] din;
wire [6:0] dout;
//Cursor
reg [6:0] cur_x_reg;
wire [6:0] cur_x_next;
reg [4:0] cur_y_reg;
wire [4:0] cur_y_next;
// wire cursor_on;
//pixel buffers
reg [9:0] pixel_x1;
reg [9:0] pixel_x2;
reg [9:0] pixel_y1;
reg [9:0] pixel_y2;
wire [7:0] font_rgb; //color for text
wire [7:0] font_inv_rgb; //color for text with cursor on top
reg current_state;
reg next_state;
wire return_key; //carriage return or '\n'
wire new_line; //move cursor to next line
//reg scroll;
reg scroll_next;
reg [4:0] yn; //row count
reg [4:0] yn_next;
reg [6:0] xn; //horizontal count
reg [6:0] xn_next;
//Module Instantiation
font_rom ufont_rom(
.clk(clk),
.addr(rom_addr),
.data(font_word)
);
dual_port_ram_sync
#(.ADDR_WIDTH(12), .DATA_WIDTH(7))
uvideo_ram
( .clk(clk),
.reset_n(resetn),
.we(we),
.addr_a(addr_w),
.addr_b(addr_r),
.din_a(din),
.dout_a(),
.dout_b(dout)
);
//State Machine for cursor and pixel buffer
always @ (posedge clk, negedge resetn)
begin
if(!resetn)
begin
cur_x_reg <= 0;
cur_y_reg <= 0;
end
else
begin
cur_x_reg <= cur_x_next;
cur_y_reg <= cur_y_next;
pixel_x1 <= pixel_x;
pixel_x2 <= pixel_x1;
pixel_y1 <= pixel_y;
pixel_y2 <= pixel_y1;
end
end
//Font ROM Access
assign row_addr = pixel_y[3:0]; //row value
assign rom_addr = {char_addr,row_addr}; //ascii value and row of character
assign bit_addr = pixel_x2[2:0]; //delayed
assign font_bit = font_word[~bit_addr]; //output from font rom
//Return key found
assign return_key = (din == 6'b001101 || din == 6'b001010) && ~scroll; // Return || "\n"
//Backspace
assign back_space = (din == 6'b001000);
//New line logic
assign new_line = font_we && ((cur_x_reg == MAX_X-1) || return_key);
//Next Cursor Position logic
assign cur_x_next = (new_line) ? 2 :
(back_space && cur_x_reg) ? cur_x_reg - 1 :
(font_we && ~back_space && ~scroll) ? cur_x_reg + 1 : cur_x_reg;
assign cur_y_next = (cur_y_reg == MAX_Y-1) ? cur_y_reg :
((new_line) ? cur_y_reg + 1 : cur_y_reg );
//Color Generation
assign font_rgb = (font_bit) ? 8'b00011100 : 8'b00000000; //green:black
assign font_inv_rgb = (font_bit) ? 8'b0000000 : 8'b00011100; //black:green
//Display logic for cursor
// assign cursor_on = (pixel_x2[9:3] == cur_x_reg) && (pixel_y2[8:4] == cur_y_reg);
//RAM Write Enable
assign we = font_we || scroll;
//Display combinational logic
always @*
begin
text_rgb = font_rgb;
end
//Console state machine
always @(posedge clk, negedge resetn)
if(!resetn)
begin
scroll <= 1'b0;
yn <= 5'b00000;
xn <= 7'b0000000;
current_state <= 1'b0;
end
else
begin
scroll <= scroll_next;
yn <= yn_next;
xn <= xn_next;
current_state <= next_state;
end
//Console next state logic
always @*
begin
scroll_next = scroll;
xn_next = xn;
yn_next = yn;
next_state = current_state;
case(current_state)
1'b0: //Waits for a new line and the cursor on the last line of the screen
if(new_line && (cur_y_reg == MAX_Y-1))
begin
scroll_next = 1'b1;
next_state = 1'b1;
yn_next = 0;
xn_next = 7'b1111111; //Delayed by one cycle
end
else
scroll_next = 1'b0;
1'b1: //Counts through every tile and refreshes
begin
if(xn_next == MAX_X)
begin
xn_next = 7'b1111111; //Delayed by one cycle
yn_next = yn + 1'b1;
if(yn_next == MAX_Y)
begin
next_state = 1'b0;
scroll_next = 0;
end
end
else
xn_next = xn + 1'b1;
end
endcase
end
//RAM Write
assign addr_w = (scroll) ? {yn,xn} : {cur_y_reg, cur_x_reg};
assign din = (scroll) ? dout : font_data[6:0];
//RAM Read
assign addr_r =(scroll) ? {yn+1'b1,xn_next} : {pixel_y[8:4],pixel_x[9:3]};
assign char_addr = dout;
endmodule
module vga_image(
input wire clk,
input wire resetn,
input wire [9:0] pixel_x,
input wire [9:0] pixel_y,
input wire image_we,
input wire [7:0] image_data,
input wire [15:0] address,
output wire [7:0] image_rgb
);
wire [15:0] addr_r;
wire [14:0] addr_w;
wire [7:0] din;
wire [7:0] dout;
wire [9:0] img_x;
wire [9:0] img_y;
reg [15:0] address_reg;
//buffer address = bus address -1 , as the first address is used for console
always @(posedge clk)
address_reg <= address-1;
//Frame buffer
dual_port_ram_sync
#(.ADDR_WIDTH(15), .DATA_WIDTH(8))
uimage_ram
( .clk(clk),
.reset_n(resetn),
.we(image_we),
.addr_a(addr_w),
.addr_b(addr_r),
.din_a(din),
.dout_a(),
.dout_b(dout)
);
assign addr_w = address_reg[14:0];
assign din = image_data;
assign img_x = pixel_x[9:0]-240;
assign img_y = pixel_y[9:0];
assign addr_r = {1'b0,img_y[8:2], img_x[8:2]};
assign image_rgb = dout;
endmodule
module VGAInterface(
input CLK,
input [7:0] COLOUR_IN,
output reg [7:0] cout,
output reg hs,
output reg vs,
output reg [9:0] addrh,
output reg [9:0] addrv
);
// Time in Vertical Lines
parameter VertTimeToPulseWidthEnd = 10'd2;
parameter VertTimeToBackPorchEnd = 10'd31;
parameter VertTimeToDisplayTimeEnd = 10'd511;
parameter VertTimeToFrontPorchEnd = 10'd521;
// Time in Horizontal Lines
parameter HorzTimeToPulseWidthEnd = 10'd96;
parameter HorzTimeToBackPorchEnd = 10'd144;
parameter HorzTimeToDisplayTimeEnd = 10'd784;
parameter HorzTimeToFrontPorchEnd = 10'd800;
wire TrigHOut, TrigDiv;
wire [9:0] HorzCount;
wire [9:0] VertCount;
//Divide the clock frequency
GenericCounter #(.COUNTER_WIDTH(1), .COUNTER_MAX(1))
FreqDivider
(
.CLK(CLK),
.RESET(1'b0),
.ENABLE_IN(1'b1),
.TRIG_OUT(TrigDiv)
);
//Horizontal counter
GenericCounter #(.COUNTER_WIDTH(10), .COUNTER_MAX(HorzTimeToFrontPorchEnd))
HorzAddrCounter
(
.CLK(CLK),
.RESET(1'b0),
.ENABLE_IN(TrigDiv),
.TRIG_OUT(TrigHOut),
.COUNT(HorzCount)
);
//Vertical counter
GenericCounter #(.COUNTER_WIDTH(10), .COUNTER_MAX(VertTimeToFrontPorchEnd))
VertAddrCounter
(
.CLK(CLK),
.RESET(1'b0),
.ENABLE_IN(TrigHOut),
.COUNT(VertCount)
);
//Synchronisation signals
always@(posedge CLK) begin
if(HorzCount<HorzTimeToPulseWidthEnd)
hs <= 1'b0;
else
hs <= 1'b1;
if(VertCount<VertTimeToPulseWidthEnd)
vs <= 1'b0;
else
vs <= 1'b1;
end
//Color signals
always@(posedge CLK) begin
if ( ( (HorzCount >= HorzTimeToBackPorchEnd ) && (HorzCount < HorzTimeToDisplayTimeEnd) ) &&
( (VertCount >= VertTimeToBackPorchEnd ) && (VertCount < VertTimeToDisplayTimeEnd) ) )
cout <= COLOUR_IN;
else
cout <= 8'b00000000;
end
//output horizontal and vertical addresses
always@(posedge CLK)begin
if ((HorzCount>HorzTimeToBackPorchEnd)&&(HorzCount<HorzTimeToDisplayTimeEnd))
addrh<=HorzCount-HorzTimeToBackPorchEnd;
else
addrh<=10'b0000000000;
end
always@(posedge CLK)begin
if ((VertCount>VertTimeToBackPorchEnd)&&(VertCount<VertTimeToDisplayTimeEnd))
addrv<=VertCount-VertTimeToBackPorchEnd;
else
addrv<=10'b0000000000;
end
endmodule
module AHB2MEM
#(parameter MEMWIDTH = 14) // SIZE[Bytes] = 2 ^ MEMWIDTH[Bytes] = 2 ^ MEMWIDTH / 4[Entries]
(
//AHBLITE INTERFACE
//Slave Select Signals
input wire HSEL,
//Global Signal
input wire HCLK,
input wire HRESETn,
//Address, Control & Write Data
input wire HREADY,
input wire [31:0] HADDR,
input wire [1:0] HTRANS,
input wire HWRITE,
input wire [2:0] HSIZE,
input wire [31:0] HWDATA,
// Transfer Response & Read Data
output wire HREADYOUT,
output reg [31:0] HRDATA
);
assign HREADYOUT = 1'b1; // Always ready
// Memory Array
reg [31:0] memory[0:(2**(MEMWIDTH-2)-1)];
initial
begin
$readmemh("code.hex", memory);
end
// Registers to store Adress Phase Signals
reg APhase_HSEL;
reg APhase_HWRITE;
reg [1:0] APhase_HTRANS;
reg [31:0] APhase_HRADDR;
reg [31:0] APhase_HWADDR;
reg [2:0] APhase_HSIZE;
// Sample the Address Phase
always @(posedge HCLK or negedge HRESETn)
begin
if(!HRESETn)
begin
APhase_HSEL <= 1'b0;
APhase_HWRITE <= 1'b0;
APhase_HTRANS <= 2'b00;
APhase_HWADDR <= 32'h0;
APhase_HSIZE <= 3'b000;
APhase_HRADDR[MEMWIDTH-2:0] <= {(MEMWIDTH-1){1'b0}};
end
else if(HREADY)
begin
APhase_HSEL <= HSEL;
APhase_HWRITE <= HWRITE;
APhase_HTRANS <= HTRANS;
APhase_HWADDR <= HADDR;
APhase_HSIZE <= HSIZE;
APhase_HRADDR[MEMWIDTH-2:0] <= HADDR[MEMWIDTH:2];
end
end
// Decode the bytes lanes depending on HSIZE & HADDR[1:0]
wire tx_byte = ~APhase_HSIZE[1] & ~APhase_HSIZE[0];
wire tx_half = ~APhase_HSIZE[1] & APhase_HSIZE[0];
wire tx_word = APhase_HSIZE[1];
wire byte_at_00 = tx_byte & ~APhase_HWADDR[1] & ~APhase_HWADDR[0];
wire byte_at_01 = tx_byte & ~APhase_HWADDR[1] & APhase_HWADDR[0];
wire byte_at_10 = tx_byte & APhase_HWADDR[1] & ~APhase_HWADDR[0];
wire byte_at_11 = tx_byte & APhase_HWADDR[1] & APhase_HWADDR[0];
wire half_at_00 = tx_half & ~APhase_HWADDR[1];
wire half_at_10 = tx_half & APhase_HWADDR[1];
wire word_at_00 = tx_word;
wire byte0 = word_at_00 | half_at_00 | byte_at_00;
wire byte1 = word_at_00 | half_at_00 | byte_at_01;
wire byte2 = word_at_00 | half_at_10 | byte_at_10;
wire byte3 = word_at_00 | half_at_10 | byte_at_11;
always @ (posedge HCLK)
begin
if(APhase_HSEL & APhase_HWRITE & APhase_HTRANS[1])
begin
if(byte0)
memory[APhase_HWADDR[MEMWIDTH:2]][ 7: 0] <= HWDATA[ 7: 0];
if(byte1)
memory[APhase_HWADDR[MEMWIDTH:2]][15: 8] <= HWDATA[15: 8];
if(byte2)
memory[APhase_HWADDR[MEMWIDTH:2]][23:16] <= HWDATA[23:16];
if(byte3)
memory[APhase_HWADDR[MEMWIDTH:2]][31:24] <= HWDATA[31:24];
end
HRDATA = memory[HADDR[MEMWIDTH:2]];
end
endmodule
module AHBLITE_SYS(
input wire CLK, // Oscillator - 100MHz
input wire RESET, // Reset
// TO BOARD LEDs
output wire [7:0] LED,
// VGA IO
output wire [2:0] vgaRed,
output wire [2:0] vgaGreen,
output wire [1:0] vgaBlue,
output wire Hsync, // VGA Horizontal Sync
output wire Vsync, // VGA Vertical Sync
// TO UART
input wire RsRx,
output wire RsTx,
// Switch Inputs
input wire [7:0] sw,
// 7 Segment display
output wire [6:0] seg,
output wire dp,
output wire [3:0] an,
// Debug
input wire TDI, // JTAG TDI
input wire TCK, // SWD Clk / JTAG TCK
inout wire TMS, // SWD I/O / JTAG TMS
output wire TDO // SWV / JTAG TDO
);
// Clock
wire fclk; // Free running clock
// Reset
wire reset_n = RESET;
// Select signals
wire [3:0] mux_sel;
wire hsel_mem;
wire hsel_led;
wire hsel_vga;
wire hsel_uart;
// Slave read data
wire [31:0] hrdata_mem;
wire [31:0] hrdata_led;
wire [31:0] hrdata_vga;
wire [31:0] hrdata_uart;
// Slave hready
wire hready_mem;
wire hready_led;
wire hready_vga;
wire hready_uart;
// CM-DS Sideband signals
wire lockup;
wire lockup_reset_req;
wire sys_reset_req;
wire txev;
wire sleeping;
wire [31:0] irq;
// Interrupt signals
assign irq = {32'b0};
// assign LED[7] = lockup;
// Clock divider, divide the frequency by two, hence less time constraint
reg clk_div;
always @(posedge CLK)
begin
clk_div=~clk_div;
end
BUFG BUFG_CLK (
.O(fclk),
.I(clk_div)
);
// Reset synchronizer
reg [4:0] reset_sync_reg;
always @(posedge fclk or negedge reset_n)
begin
if (!reset_n)
reset_sync_reg <= 5'b00000;
else
begin
reset_sync_reg[3:0] <= {reset_sync_reg[2:0], 1'b1};
reset_sync_reg[4] <= reset_sync_reg[2] & (~sys_reset_req);
end
end
// CPU System Bus
wire hresetn = reset_sync_reg[4];
wire [31:0] haddrs;
wire [2:0] hbursts;
wire hmastlocks;
wire [3:0] hprots;
wire [2:0] hsizes;
wire [1:0] htranss;
wire [31:0] hwdatas;
wire hwrites;
wire [31:0] hrdatas;
wire hreadys;
wire [1:0] hresps = 2'b00; // System generates no error response
wire exresps = 1'b0;
// Debug signals (TDO pin is used for SWV unless JTAG mode is active)
wire dbg_tdo; // SWV / JTAG TDO
wire dbg_tdo_nen; // SWV / JTAG TDO tristate enable (active low)
wire dbg_swdo; // SWD I/O 3-state output
wire dbg_swdo_en; // SWD I/O 3-state enable
wire dbg_jtag_nsw; // SWD in JTAG state (HIGH)
wire dbg_swo; // Serial wire viewer/output
wire tdo_enable = !dbg_tdo_nen | !dbg_jtag_nsw;
wire tdo_tms = dbg_jtag_nsw ? dbg_tdo : dbg_swo;
assign TMS = dbg_swdo_en ? dbg_swdo : 1'bz;
assign TDO = tdo_enable ? tdo_tms : 1'bz;
// CoreSight requires a loopback from REQ to ACK for a minimal
// debug power control implementation
wire cpu0cdbgpwrupreq;
wire cpu0cdbgpwrupack;
assign cpu0cdbgpwrupack = cpu0cdbgpwrupreq;
// DesignStart simplified integration level
CORTEXM0INTEGRATION u_CORTEXM0INTEGRATION (
// CLOCK AND RESETS
.FCLK (fclk), // Free running clock
.SCLK (fclk), // System clock
.HCLK (fclk), // AHB clock
.DCLK (fclk), // Debug system clock
.PORESETn (reset_sync_reg[2]), // Power on reset
.DBGRESETn (reset_sync_reg[3]), // Debug reset
.HRESETn (hresetn), // AHB and System reset
// AHB-LITE MASTER PORT
.HADDR (haddrs),
.HBURST (hbursts),
.HMASTLOCK (hmastlocks),
.HPROT (hprots),
.HSIZE (hsizes),
.HTRANS (htranss),
.HWDATA (hwdatas),
.HWRITE (hwrites),
.HRDATA (hrdatas),
.HREADY (hreadys),
.HRESP (hresps),
.HMASTER (),
// CODE SEQUENTIALITY AND SPECULATION
.CODENSEQ (),
.CODEHINTDE (),
.SPECHTRANS (),
// DEBUG
.nTRST (1'b1),
.SWCLKTCK (TCK),
.SWDITMS (TMS),
.TDI (TDI),
.SWDO (dbg_swdo),
.SWDOEN (dbg_swdo_en),
.TDO (dbg_tdo),
.nTDOEN (dbg_tdo_nen),
.DBGRESTART (1'b0), // Debug Restart request - Not needed in a single CPU system
.DBGRESTARTED (),
.EDBGRQ (1'b0), // External Debug request to CPU
.HALTED (),
// MISC
.NMI (1'b0), // Non-maskable interrupt input
.IRQ (irq), // Interrupt request inputs
.TXEV (), // Event output (SEV executed)
.RXEV (1'b0), // Event input
.LOCKUP (lockup), // Core is locked-up
.SYSRESETREQ (sys_reset_req), // System reset request
.STCALIB ({1'b1, // No alternative clock source
1'b0, // Exact multiple of 10ms from FCLK
24'h007A11F}), // Calibration value for SysTick for 50 MHz source
.STCLKEN (1'b0), // SysTick SCLK clock disable
.IRQLATENCY (8'h00),
.ECOREVNUM (28'h0),
// POWER MANAGEMENT
.GATEHCLK (), // When high, HCLK can be turned off
.SLEEPING (), // Core and NVIC sleeping
.SLEEPDEEP (), // The processor is in deep sleep mode
.WAKEUP (), // Active HIGH signal from WIC to the PMU that indicates a wake-up event has
// occurred and the system requires clocks and power
.WICSENSE (),
.SLEEPHOLDREQn (1'b1), // Extend Sleep request
.SLEEPHOLDACKn (), // Acknowledge for SLEEPHOLDREQn
.WICENREQ (1'b0), // Active HIGH request for deep sleep to be WIC-based deep sleep
.WICENACK (), // Acknowledge for WICENREQ - WIC operation deep sleep mode
.CDBGPWRUPREQ (cpu0cdbgpwrupreq), // Debug Power Domain up request
.CDBGPWRUPACK (cpu0cdbgpwrupack), // Debug Power Domain up acknowledge.
// SCAN IO
.SE (1'b0), // DFT is tied off in this example
.RSTBYPASS (1'b0) // Reset bypass - active high to disable internal generated reset for testing
);
// Address Decoder
AHBDCD uAHBDCD (
.HADDR(haddrs),
.HSEL_S0(hsel_mem),
.HSEL_S1(hsel_vga),
.HSEL_S2(hsel_uart),
.HSEL_S3(),
.HSEL_S4(),
.HSEL_S5(),
.HSEL_S6(),
.HSEL_S7(),
.HSEL_S8(),
.HSEL_S9(),
.HSEL_NOMAP(),
.MUX_SEL(mux_sel[3:0])
);
// Slave to Master Mulitplexor
AHBMUX uAHBMUX (
.HCLK(fclk),
.HRESETn(hresetn),
.MUX_SEL(mux_sel[3:0]),
.HRDATA_S0(hrdata_mem),
.HRDATA_S1(hrdata_vga),
.HRDATA_S2(hrdata_uart),
.HRDATA_S3(),
.HRDATA_S4(),
.HRDATA_S5(),
.HRDATA_S6(),
.HRDATA_S7(),
.HRDATA_S8(),
.HRDATA_S9(),
.HRDATA_NOMAP(32'hDEADBEEF),
.HREADYOUT_S0(hready_mem),
.HREADYOUT_S1(hready_vga),
.HREADYOUT_S2(hready_uart),
.HREADYOUT_S3(),
.HREADYOUT_S4(),
.HREADYOUT_S5(),
.HREADYOUT_S6(1'b1),
.HREADYOUT_S7(1'b1),
.HREADYOUT_S8(1'b1),
.HREADYOUT_S9(1'b1),
.HREADYOUT_NOMAP(1'b1),
.HRDATA(hrdatas),
.HREADY(hreadys)
);
// AHBLite Peripherals
// AHBLite Memory Controller
AHB2MEM uAHB2RAM (
//AHBLITE Signals
.HSEL(hsel_mem),
.HCLK(fclk),
.HRESETn(hresetn),
.HREADY(hreadys),
.HADDR(haddrs),
.HTRANS(htranss),
.HWRITE(hwrites),
.HSIZE(hsizes),
.HWDATA(hwdatas),
.HRDATA(hrdata_mem),
.HREADYOUT(hready_mem)
);
// AHBLite VGA Controller
AHBVGA uAHBVGA (
.HCLK(fclk),
.HRESETn(hresetn),
.HADDR(haddrs),
.HWDATA(hwdatas),
.HREADY(hreadys),
.HWRITE(hwrites),
.HTRANS(htranss),
.HSEL(hsel_vga),
.HRDATA(hrdata_vga),
.HREADYOUT(hready_vga),
.hsync(Hsync),
.vsync(Vsync),
.rgb({vgaRed,vgaGreen,vgaBlue})
);
// AHBLite UART Peripheral
AHBUART uAHBUART(
.HCLK(fclk),
.HRESETn(hresetn),
.HADDR(haddrs),
.HTRANS(htranss),
.HWDATA(hwdatas),
.HWRITE(hwrites),
.HREADY(hreadys),
.HREADYOUT(hready_uart),
.HRDATA(hrdata_uart),
.HSEL(hsel_uart),
.RsRx(RsRx),
.RsTx(RsTx)
);
// AHBLite 7-segment Pheripheral
AHB7SEGDEC uAHB7SEGDEC(
.HCLK(fclk),
.HRESETn(hresetn),
.HADDR(haddrs),
.HTRANS(htranss),
.HWDATA(hwdatas),
.HWRITE(hwrites),
.HREADY(hreadys),
.HREADYOUT(hready_7seg),
.HRDATA(hrdata_7seg),
.HSEL(hsel_7seg),
.seg(seg),
.an(an),
.dp(dp)
);
// AHBLite timer
AHBTIMER uAHBTIMER(
.HCLK(fclk),
.HRESETn(hresetn),
.HADDR(haddrs),
.HTRANS(htranss),
.HWDATA(hwdatas),
.HWRITE(hwrites),
.HREADY(hreadys),
.HREADYOUT(hready_timer),
.HRDATA(hrdata_timer),
.HSEL(hsel_timer)
);
// AHBLite GPIO
AHBGPIO uAHBGPIO(
.HCLK(fclk),
.HRESETn(hresetn),
.HADDR(haddrs),
.HWRITE(hwrites),
.HWDATA(hwdatas),
.HTRANS(htranss),
.HSEL(hsel_gpio),
.HREADY(hreadys),
.GPIOIN({8'b00000000, sw[7:0]}),
.HREADYOUT(hready_gpio),
.HRDATA(hrdata_gpio),
.GPIOOUT(LED[7:0])
);
endmodule
//---------------------------------------------------------------------------------
// AHB Lite Slave Mux Design
//---------------------------------------------------------------------------------
// ********************************************************************************
// Author : Srimanth Tenneti
// Date : 5th May 2021
// Description : AHB-Lite bus slave decoder
// Type : RTL Design
// ********************************************************************************
module AHBMUX(
input wire HCLK, // Global Signals
input wire HRESETn,
input wire [3:0] MUX_SEL, // Mux Sel for address decoder
input wire [31:0] HRDATA_S0,
input wire [31:0] HRDATA_S1,
input wire [31:0] HRDATA_S2,
input wire [31:0] HRDATA_S3,
input wire [31:0] HRDATA_S4,
input wire [31:0] HRDATA_S5, // HRDATA from all the slaves
input wire [31:0] HRDATA_S6,
input wire [31:0] HRDATA_S7,
input wire [31:0] HRDATA_S8,
input wire [31:0] HRDATA_S9,
input wire [31:0] HRDATA_NOMAP,
input wire HREADYOUT_S0,
input wire HREADYOUT_S1,
input wire HREADYOUT_S2,
input wire HREADYOUT_S3,
input wire HREADYOUT_S4, // HREADYOUT from all the slaves
input wire HREADYOUT_S5,
input wire HREADYOUT_S6,
input wire HREADYOUT_S7,
input wire HREADYOUT_S8,
input wire HREADYOUT_S9,
input wire HREADYOUT_NOMAP,
output reg HREADY,
output reg [31:0] HRDATA
);
reg [3:0] APHASE_MUX_SEL; // Latch the address phase mux sel
// We do this to provide the appropriate control signals in the data
// The control signals are valid only if HREADY = 1
always @ (posedge HCLK)
begin
if(!HRESETn)
APHASE_MUX_SEL <= 4'h0;
else
APHASE_MUX_SEL <= MUX_SEL;
end
always @*
begin
case(APHASE_MUX_SEL)
4'b0000: begin
HRDATA = HRDATA_S0;
HREADY = HREADYOUT_S0;
end
4'b0001: begin
HRDATA = HRDATA_S1;
HREADY = HREADYOUT_S1;
end
4'b0010: begin
HRDATA = HRDATA_S2;
HREADY = HREADYOUT_S2;
end
4'b0011: begin
HRDATA = HRDATA_S3;
HREADY = HREADYOUT_S3;
end
4'b0100: begin
HRDATA = HRDATA_S4;
HREADY = HREADYOUT_S4;
end
4'b0101: begin
HRDATA = HRDATA_S5;
HREADY = HREADYOUT_S5;
end
4'b0110: begin
HRDATA = HRDATA_S6;
HREADY = HREADYOUT_S6;
end
4'b0111: begin
HRDATA = HRDATA_S7;
HREADY = HREADYOUT_S7;
end
4'b1000: begin
HRDATA = HRDATA_S8;
HREADY = HREADYOUT_S8;
end
4'b1001: begin
HRDATA = HRDATA_S9;
HREADY = HREADYOUT_S9;
end
default: begin
HRDATA = HRDATA_NOMAP;
HREADY = HREADYOUT_NOMAP;
end
endcase
end
endmodule
// -----------------------------------------------------------------
// AHB-Lite Decoder //
// -----------------------------------------------------------------
// Author : Srimanth Tenneti
// Date : 8th May 2021
// Description : AHB-Lite Address Decoder
// Type : RTL Design
module AHBDCD(
input wire [31:0] HADDR, // Taking HADDR as input
output wire HSEL_S0,
output wire HSEL_S1,
output wire HSEL_S2,
output wire HSEL_S3,
output wire HSEL_S4,
output wire HSEL_S5,
output wire HSEL_S6,
output wire HSEL_S7,
output wire HSEL_S8,
output wire HSEL_S9,
output wire HSEL_NOMAP,
output reg [3:0] MUX_SEL
);
reg [15:0] dec;
assign HSEL_S0 = dec[0]; // 0x0000_0000 to 0x00FF_FFFF : 16MB
assign HSEL_S1 = dec[1]; // 0x5000_0000 to 0x50FF_FFFF : 16MB
assign HSEL_S2 = dec[2]; // 0x5100_0000 to 0x51FF_FFFF : 16MB
assign HSEL_S3 = dec[3]; // 0x5200_0000 to 0x52FF_FFFF : 16MB
assign HSEL_S4 = dec[4]; // 0x5300_0000 to 0x53FF_FFFF : 16MB
assign HSEL_S5 = dec[5]; // 0x5400_0000 to 0x54FF_FFFF : 16MB
assign HSEL_S6 = dec[6]; // 0x5500_0000 to 0x55FF_FFFF : 16MB
assign HSEL_S7 = dec[7]; // 0x5600_0000 to 0x56FF_FFFF : 16MB
assign HSEL_S8 = dec[8]; // 0x5700_0000 to 0x57FF_FFFF : 16MB
assign HSEL_S9 = dec[9]; // 0x5800_0000 to 0x58FF_FFFF : 16MB
assign HSEL_NOMAP = dec[15];
always @*
begin
case(HADDR[31:24])
8'h00:
begin
dec = 16'h0000_0000_0000_0001; // One Hot encoding
MUX_SEL = 4'b0000;
end
8'h50:
begin
dec = 16'h0000_0000_0000_0010;
MUX_SEL = 4'b0001;
end
8'h51:
begin
dec = 16'h0000_0000_0000_0100;
MUX_SEL = 4'b0010;
end
8'h52:
begin
dec = 16'h0000_0000_0000_1000;
MUX_SEL = 4'b0011;
end
8'h53:
begin
dec = 16'h0000_0000_0001_0000;
MUX_SEL = 4'b0100;
end
8'h54:
begin
dec = 16'h0000_0000_0010_0000;
MUX_SEL = 4'b0101;
end
8'h55:
begin
dec = 16'h0000_0000_0100_0000;
MUX_SEL = 4'b0110;
end
8'h56:
begin
dec = 16'h0000_0000_1000_0000;
MUX_SEL = 4'b0111;
end
8'h57:
begin
dec = 16'h0000_0001_0000_0000;
MUX_SEL = 4'b1000;
end
8'h58:
begin
dec = 16'h0000_0010_0000_0000;
MUX_SEL = 4'b1001;
end
default:
begin
dec = 16'b1000_0000_0000_0000;
MUX_SEL = 4'b1111;
end
endcase
end
endmodule
//------------------------------------------------------------------------------
// The confidential and proprietary information contained in this file may
// only be used by a person authorised under and to the extent permitted
// by a subsisting licensing agreement from ARM Limited or its affiliates.
//
// (C) COPYRIGHT 2017 ARM Limited or its affiliates.
// ALL RIGHTS RESERVED
//
// This entire notice must be reproduced on all copies of this file
// and copies of this file may only be made by a person if such person is
// permitted to do so under the terms of a subsisting license agreement
// from ARM Limited or its affiliates.
//
// Version and Release Control Information:
//
// File Revision : $Revision: $
// File Date : $Date: $
//
// Release Information : development
//
//------------------------------------------------------------------------------
//------------------------------------------------------------------------------
// Cortex-M0 DesignStart processor logic level
//------------------------------------------------------------------------------
module cortexm0ds_logic
(FCLK, SCLK, HCLK, DCLK, PORESETn, DBGRESETn, HRESETn,
SWCLKTCK, nTRST, HADDR, HBURST, HMASTLOCK, HPROT, HSIZE, HTRANS, HWDATA,
HWRITE, HRDATA, HREADY, HRESP, HMASTER, CODENSEQ, CODEHINTDE, SPECHTRANS
, SWDITMS, TDI, SWDO, SWDOEN, TDO, nTDOEN, DBGRESTART, DBGRESTARTED,
EDBGRQ, HALTED, NMI, IRQ, TXEV, RXEV, LOCKUP, SYSRESETREQ, STCALIB,
STCLKEN, IRQLATENCY, ECOREVNUM, GATEHCLK, SLEEPING, SLEEPDEEP, WAKEUP,
WICSENSE, SLEEPHOLDREQn, SLEEPHOLDACKn, WICENREQ, WICENACK, CDBGPWRUPREQ
, CDBGPWRUPACK, SE, RSTBYPASS, vis_r0_o, vis_r1_o, vis_r2_o, vis_r3_o,
vis_r4_o, vis_r5_o, vis_r6_o, vis_r7_o, vis_r8_o, vis_r9_o, vis_r10_o,
vis_r11_o, vis_r12_o, vis_r14_o, vis_msp_o, vis_psp_o, vis_pc_o,
vis_apsr_o, vis_tbit_o, vis_ipsr_o, vis_control_o, vis_primask_o);
output [31:0] HADDR;
output [2:0] HBURST;
output [3:0] HPROT;
output [2:0] HSIZE;
output [1:0] HTRANS;
output [31:0] HWDATA;
input [31:0] HRDATA;
output [2:0] CODEHINTDE;
input [31:0] IRQ;
input [25:0] STCALIB;
input [7:0] IRQLATENCY;
input [27:0] ECOREVNUM;
output [33:0] WICSENSE;
output [31:0] vis_r0_o;
output [31:0] vis_r1_o;
output [31:0] vis_r2_o;
output [31:0] vis_r3_o;
output [31:0] vis_r4_o;
output [31:0] vis_r5_o;
output [31:0] vis_r6_o;
output [31:0] vis_r7_o;
output [31:0] vis_r8_o;
output [31:0] vis_r9_o;
output [31:0] vis_r10_o;
output [31:0] vis_r11_o;
output [31:0] vis_r12_o;
output [31:0] vis_r14_o;
output [29:0] vis_msp_o;
output [29:0] vis_psp_o;
output [30:0] vis_pc_o;
output [3:0] vis_apsr_o;
output [5:0] vis_ipsr_o;
input FCLK;
input SCLK;
input HCLK;
input DCLK;
input PORESETn;
input DBGRESETn;
input HRESETn;
input SWCLKTCK;
input nTRST;
input HREADY;
input HRESP;
input SWDITMS;
input TDI;
input DBGRESTART;
input EDBGRQ;
input NMI;
input RXEV;
input STCLKEN;
input SLEEPHOLDREQn;
input WICENREQ;
input CDBGPWRUPACK;
input SE;
input RSTBYPASS;
output HMASTLOCK;
output HWRITE;
output HMASTER;
output CODENSEQ;
output SPECHTRANS;
output SWDO;
output SWDOEN;
output TDO;
output nTDOEN;
output DBGRESTARTED;
output HALTED;
output TXEV;
output LOCKUP;
output SYSRESETREQ;
output GATEHCLK;
output SLEEPING;
output SLEEPDEEP;
output WAKEUP;
output SLEEPHOLDACKn;
output WICENACK;
output CDBGPWRUPREQ;
output vis_tbit_o;
output vis_control_o;
output vis_primask_o;
wire Fmdhu6, Qmdhu6, Pndhu6, Oodhu6, Npdhu6, Qqdhu6, Fsdhu6, Stdhu6, Fvdhu6, Qwdhu6;
wire Jydhu6, C0ehu6, S1ehu6, L3ehu6, E5ehu6, T6ehu6, L8ehu6, Caehu6, Qbehu6, Edehu6;
wire Seehu6, Ggehu6, Uhehu6, Ijehu6, Wkehu6, Kmehu6, Ynehu6, Mpehu6, Arehu6, Osehu6;
wire Cuehu6, Qvehu6, Exehu6, Syehu6, G0fhu6, U1fhu6, I3fhu6, W4fhu6, K6fhu6, Y7fhu6;
wire M9fhu6, Abfhu6, Ocfhu6, Cefhu6, Qffhu6, Dhfhu6, Qifhu6, Dkfhu6, Qlfhu6, Dnfhu6;
wire Qofhu6, Dqfhu6, Vrfhu6, Ntfhu6, Ivfhu6, Dxfhu6, Yyfhu6, R0ghu6, N2ghu6, H4ghu6;
wire H6ghu6, Y7ghu6, V9ghu6, Sbghu6, Ndghu6, Gfghu6, Ahghu6, Righu6, Ikghu6, Zlghu6;
wire Qnghu6, Npghu6, Krghu6, Ftghu6, Dvghu6, Bxghu6, Yyghu6, T0hhu6, H2hhu6, S3hhu6;
wire E5hhu6, R6hhu6, D8hhu6, P9hhu6, Hbhhu6, Vchhu6, Jehhu6, Aghhu6, Qhhhu6, Ijhhu6;
wire Alhhu6, Smhhu6, Kohhu6, Wphhu6, Drhhu6, Kshhu6, Rthhu6, Yuhhu6, Gwhhu6, Oxhhu6;
wire Wyhhu6, E0ihu6, M1ihu6, U2ihu6, C4ihu6, K5ihu6, S6ihu6, A8ihu6, I9ihu6, Qaihu6;
wire Ybihu6, Gdihu6, Oeihu6, Wfihu6, Ehihu6, Miihu6, Ujihu6, Clihu6, Kmihu6, Snihu6;
wire Apihu6, Iqihu6, Qrihu6, Ysihu6, Guihu6, Ovihu6, Wwihu6, Eyihu6, Mzihu6, U0jhu6;
wire C2jhu6, K3jhu6, S4jhu6, A6jhu6, I7jhu6, Q8jhu6, Y9jhu6, Gbjhu6, Ocjhu6, Wdjhu6;
wire Efjhu6, Mgjhu6, Uhjhu6, Cjjhu6, Kkjhu6, Sljhu6, Anjhu6, Iojhu6, Qpjhu6, Yqjhu6;
wire Gsjhu6, Otjhu6, Wujhu6, Ewjhu6, Mxjhu6, Uyjhu6, C0khu6, K1khu6, S2khu6, A4khu6;
wire I5khu6, Q6khu6, Y7khu6, G9khu6, Oakhu6, Wbkhu6, Edkhu6, Mekhu6, Ufkhu6, Chkhu6;
wire Kikhu6, Sjkhu6, Alkhu6, Imkhu6, Qnkhu6, Yokhu6, Gqkhu6, Orkhu6, Wskhu6, Eukhu6;
wire Mvkhu6, Uwkhu6, Cykhu6, Kzkhu6, S0lhu6, A2lhu6, I3lhu6, Q4lhu6, Y5lhu6, G7lhu6;
wire O8lhu6, W9lhu6, Eblhu6, Lclhu6, Sdlhu6, Zelhu6, Gglhu6, Nhlhu6, Uilhu6, Bklhu6;
wire Illhu6, Pmlhu6, Wnlhu6, Dplhu6, Kqlhu6, Rrlhu6, Yslhu6, Fulhu6, Mvlhu6, Twlhu6;
wire Aylhu6, Hzlhu6, O0mhu6, V1mhu6, C3mhu6, J4mhu6, Q5mhu6, X6mhu6, E8mhu6, L9mhu6;
wire Samhu6, Zbmhu6, Gdmhu6, Nemhu6, Ufmhu6, Bhmhu6, Iimhu6, Pjmhu6, Wkmhu6, Dmmhu6;
wire Knmhu6, Romhu6, Ypmhu6, Frmhu6, Msmhu6, Ttmhu6, Avmhu6, Hwmhu6, Vxmhu6, Jzmhu6;
wire R0nhu6, A2nhu6, N3nhu6, I5nhu6, B7nhu6, Q8nhu6, Fanhu6, Ubnhu6, Jdnhu6, Yenhu6;
wire Rgnhu6, Pinhu6, Hknhu6, Ulnhu6, Fnnhu6, Tonhu6, Iqnhu6, Rrnhu6, Dtnhu6, Punhu6;
wire Gwnhu6, Cynhu6, Lznhu6, X0ohu6, G2ohu6, S3ohu6, O5ohu6, Q7ohu6, M9ohu6, R9ohu6;
wire W9ohu6, Daohu6, Kaohu6, Raohu6, Yaohu6, Fbohu6, Mbohu6, Tbohu6, Acohu6, Hcohu6;
wire Ocohu6, Vcohu6, Cdohu6, Jdohu6, Qdohu6, Xdohu6, Eeohu6, Leohu6, Seohu6, Zeohu6;
wire Gfohu6, Nfohu6, Ufohu6, Bgohu6, Igohu6, Pgohu6, Wgohu6, Dhohu6, Khohu6, Rhohu6;
wire Yhohu6, Fiohu6, Miohu6, Tiohu6, Ajohu6, Hjohu6, Ojohu6, Vjohu6, Ckohu6, Jkohu6;
wire Qkohu6, Xkohu6, Elohu6, Llohu6, Slohu6, Zlohu6, Gmohu6, Nmohu6, Umohu6, Bnohu6;
wire Inohu6, Pnohu6, Wnohu6, Doohu6, Koohu6, Roohu6, Yoohu6, Fpohu6, Mpohu6, Tpohu6;
wire Aqohu6, Hqohu6, Oqohu6, Vqohu6, Crohu6, Jrohu6, Qrohu6, Xrohu6, Esohu6, Lsohu6;
wire Ssohu6, Zsohu6, Gtohu6, Ntohu6, Utohu6, Buohu6, Iuohu6, Puohu6, Wuohu6, Dvohu6;
wire Kvohu6, Rvohu6, Yvohu6, Fwohu6, Mwohu6, Twohu6, Axohu6, Hxohu6, Oxohu6, Vxohu6;
wire Cyohu6, Jyohu6, Qyohu6, Xyohu6, Ezohu6, Lzohu6, Szohu6, Zzohu6, G0phu6, N0phu6;
wire U0phu6, B1phu6, I1phu6, P1phu6, W1phu6, D2phu6, K2phu6, R2phu6, Y2phu6, F3phu6;
wire M3phu6, T3phu6, A4phu6, H4phu6, O4phu6, V4phu6, C5phu6, J5phu6, Q5phu6, X5phu6;
wire E6phu6, L6phu6, S6phu6, Z6phu6, G7phu6, N7phu6, U7phu6, B8phu6, I8phu6, P8phu6;
wire W8phu6, D9phu6, K9phu6, R9phu6, Y9phu6, Faphu6, Maphu6, Taphu6, Abphu6, Hbphu6;
wire Obphu6, Vbphu6, Ccphu6, Jcphu6, Qcphu6, Xcphu6, Edphu6, Ldphu6, Sdphu6, Zdphu6;
wire Gephu6, Nephu6, Uephu6, Bfphu6, Ifphu6, Pfphu6, Wfphu6, Dgphu6, Kgphu6, Rgphu6;
wire Ygphu6, Fhphu6, Mhphu6, Thphu6, Aiphu6, Hiphu6, Oiphu6, Viphu6, Cjphu6, Jjphu6;
wire Qjphu6, Xjphu6, Ekphu6, Lkphu6, Skphu6, Zkphu6, Glphu6, Nlphu6, Ulphu6, Bmphu6;
wire Imphu6, Pmphu6, Wmphu6, Dnphu6, Knphu6, Rnphu6, Ynphu6, Fophu6, Mophu6, Tophu6;
wire Apphu6, Hpphu6, Opphu6, Vpphu6, Cqphu6, Jqphu6, Qqphu6, Xqphu6, Erphu6, Lrphu6;
wire Srphu6, Zrphu6, Gsphu6, Nsphu6, Usphu6, Btphu6, Itphu6, Ptphu6, Wtphu6, Duphu6;
wire Kuphu6, Ruphu6, Yuphu6, Fvphu6, Mvphu6, Tvphu6, Awphu6, Hwphu6, Owphu6, Vwphu6;
wire Cxphu6, Jxphu6, Qxphu6, Xxphu6, Eyphu6, Lyphu6, Syphu6, Zyphu6, Gzphu6, Nzphu6;
wire Uzphu6, B0qhu6, I0qhu6, P0qhu6, W0qhu6, D1qhu6, K1qhu6, R1qhu6, Y1qhu6, F2qhu6;
wire M2qhu6, T2qhu6, A3qhu6, H3qhu6, O3qhu6, V3qhu6, C4qhu6, J4qhu6, Q4qhu6, X4qhu6;
wire E5qhu6, L5qhu6, S5qhu6, Z5qhu6, G6qhu6, N6qhu6, U6qhu6, B7qhu6, I7qhu6, P7qhu6;
wire W7qhu6, D8qhu6, K8qhu6, R8qhu6, Y8qhu6, F9qhu6, M9qhu6, T9qhu6, Aaqhu6, Haqhu6;
wire Oaqhu6, Vaqhu6, Cbqhu6, Jbqhu6, Qbqhu6, Xbqhu6, Ecqhu6, Lcqhu6, Scqhu6, Zcqhu6;
wire Gdqhu6, Ndqhu6, Udqhu6, Beqhu6, Ieqhu6, Peqhu6, Weqhu6, Dfqhu6, Kfqhu6, Rfqhu6;
wire Yfqhu6, Fgqhu6, Mgqhu6, Tgqhu6, Ahqhu6, Hhqhu6, Ohqhu6, Vhqhu6, Ciqhu6, Jiqhu6;
wire Qiqhu6, Xiqhu6, Ejqhu6, Ljqhu6, Sjqhu6, Zjqhu6, Gkqhu6, Nkqhu6, Ukqhu6, Blqhu6;
wire Ilqhu6, Plqhu6, Wlqhu6, Dmqhu6, Kmqhu6, Rmqhu6, Ymqhu6, Fnqhu6, Mnqhu6, Tnqhu6;
wire Aoqhu6, Hoqhu6, Ooqhu6, Voqhu6, Cpqhu6, Jpqhu6, Qpqhu6, Xpqhu6, Eqqhu6, Lqqhu6;
wire Sqqhu6, Zqqhu6, Grqhu6, Nrqhu6, Urqhu6, Bsqhu6, Isqhu6, Psqhu6, Wsqhu6, Dtqhu6;
wire Ktqhu6, Rtqhu6, Ytqhu6, Fuqhu6, Muqhu6, Tuqhu6, Avqhu6, Hvqhu6, Ovqhu6, Vvqhu6;
wire Cwqhu6, Jwqhu6, Qwqhu6, Xwqhu6, Exqhu6, Lxqhu6, Sxqhu6, Zxqhu6, Gyqhu6, Nyqhu6;
wire Uyqhu6, Bzqhu6, Izqhu6, Pzqhu6, Wzqhu6, D0rhu6, K0rhu6, R0rhu6, Y0rhu6, F1rhu6;
wire M1rhu6, T1rhu6, A2rhu6, H2rhu6, O2rhu6, V2rhu6, C3rhu6, J3rhu6, Q3rhu6, X3rhu6;
wire E4rhu6, L4rhu6, S4rhu6, Z4rhu6, G5rhu6, N5rhu6, U5rhu6, B6rhu6, I6rhu6, P6rhu6;
wire W6rhu6, D7rhu6, K7rhu6, R7rhu6, Y7rhu6, F8rhu6, M8rhu6, T8rhu6, A9rhu6, H9rhu6;
wire O9rhu6, V9rhu6, Carhu6, Jarhu6, Qarhu6, Xarhu6, Ebrhu6, Lbrhu6, Sbrhu6, Zbrhu6;
wire Gcrhu6, Ncrhu6, Ucrhu6, Bdrhu6, Idrhu6, Pdrhu6, Wdrhu6, Derhu6, Kerhu6, Rerhu6;
wire Yerhu6, Ffrhu6, Mfrhu6, Tfrhu6, Agrhu6, Hgrhu6, Ogrhu6, Vgrhu6, Chrhu6, Jhrhu6;
wire Qhrhu6, Xhrhu6, Eirhu6, Lirhu6, Sirhu6, Zirhu6, Gjrhu6, Njrhu6, Ujrhu6, Bkrhu6;
wire Ikrhu6, Pkrhu6, Wkrhu6, Dlrhu6, Klrhu6, Rlrhu6, Ylrhu6, Fmrhu6, Mmrhu6, Tmrhu6;
wire Anrhu6, Hnrhu6, Onrhu6, Vnrhu6, Corhu6, Jorhu6, Qorhu6, Xorhu6, Eprhu6, Lprhu6;
wire Sprhu6, Zprhu6, Gqrhu6, Nqrhu6, Uqrhu6, Brrhu6, Irrhu6, Prrhu6, Wrrhu6, Dsrhu6;
wire Ksrhu6, Rsrhu6, Ysrhu6, Ftrhu6, Mtrhu6, Ttrhu6, Aurhu6, Hurhu6, Ourhu6, Vurhu6;
wire Cvrhu6, Jvrhu6, Qvrhu6, Xvrhu6, Ewrhu6, Lwrhu6, Swrhu6, Zwrhu6, Gxrhu6, Nxrhu6;
wire Uxrhu6, Byrhu6, Iyrhu6, Pyrhu6, Wyrhu6, Dzrhu6, Kzrhu6, Rzrhu6, Yzrhu6, F0shu6;
wire M0shu6, T0shu6, A1shu6, H1shu6, O1shu6, V1shu6, C2shu6, J2shu6, Q2shu6, X2shu6;
wire E3shu6, L3shu6, S3shu6, Z3shu6, G4shu6, N4shu6, U4shu6, B5shu6, I5shu6, P5shu6;
wire W5shu6, D6shu6, K6shu6, R6shu6, Y6shu6, F7shu6, M7shu6, T7shu6, A8shu6, H8shu6;
wire O8shu6, V8shu6, C9shu6, J9shu6, Q9shu6, X9shu6, Eashu6, Lashu6, Sashu6, Zashu6;
wire Gbshu6, Nbshu6, Ubshu6, Bcshu6, Icshu6, Pcshu6, Wcshu6, Ddshu6, Kdshu6, Rdshu6;
wire Ydshu6, Feshu6, Meshu6, Teshu6, Afshu6, Hfshu6, Ofshu6, Vfshu6, Cgshu6, Jgshu6;
wire Qgshu6, Xgshu6, Ehshu6, Lhshu6, Shshu6, Zhshu6, Gishu6, Nishu6, Uishu6, Bjshu6;
wire Ijshu6, Pjshu6, Wjshu6, Dkshu6, Kkshu6, Rkshu6, Ykshu6, Flshu6, Mlshu6, Tlshu6;
wire Amshu6, Hmshu6, Omshu6, Vmshu6, Cnshu6, Jnshu6, Qnshu6, Xnshu6, Eoshu6, Loshu6;
wire Soshu6, Zoshu6, Gpshu6, Npshu6, Upshu6, Bqshu6, Iqshu6, Pqshu6, Wqshu6, Drshu6;
wire Krshu6, Rrshu6, Yrshu6, Fsshu6, Msshu6, Tsshu6, Atshu6, Htshu6, Otshu6, Vtshu6;
wire Cushu6, Jushu6, Qushu6, Xushu6, Evshu6, Lvshu6, Svshu6, Zvshu6, Gwshu6, Nwshu6;
wire Uwshu6, Bxshu6, Ixshu6, Pxshu6, Wxshu6, Dyshu6, Kyshu6, Ryshu6, Yyshu6, Fzshu6;
wire Mzshu6, Tzshu6, A0thu6, H0thu6, O0thu6, V0thu6, C1thu6, J1thu6, Q1thu6, X1thu6;
wire E2thu6, L2thu6, S2thu6, Z2thu6, G3thu6, N3thu6, U3thu6, B4thu6, I4thu6, P4thu6;
wire W4thu6, D5thu6, K5thu6, R5thu6, Y5thu6, F6thu6, M6thu6, T6thu6, A7thu6, H7thu6;
wire O7thu6, V7thu6, C8thu6, J8thu6, Q8thu6, X8thu6, E9thu6, L9thu6, S9thu6, Z9thu6;
wire Gathu6, Nathu6, Uathu6, Bbthu6, Ibthu6, Pbthu6, Wbthu6, Dcthu6, Kcthu6, Rcthu6;
wire Ycthu6, Fdthu6, Mdthu6, Tdthu6, Aethu6, Hethu6, Oethu6, Vethu6, Cfthu6, Jfthu6;
wire Qfthu6, Xfthu6, Egthu6, Lgthu6, Sgthu6, Zgthu6, Ghthu6, Nhthu6, Uhthu6, Bithu6;
wire Iithu6, Pithu6, Withu6, Djthu6, Kjthu6, Rjthu6, Yjthu6, Fkthu6, Mkthu6, Tkthu6;
wire Althu6, Hlthu6, Olthu6, Vlthu6, Cmthu6, Jmthu6, Qmthu6, Xmthu6, Enthu6, Lnthu6;
wire Snthu6, Znthu6, Gothu6, Nothu6, Uothu6, Bpthu6, Ipthu6, Ppthu6, Wpthu6, Dqthu6;
wire Kqthu6, Rqthu6, Yqthu6, Frthu6, Mrthu6, Trthu6, Asthu6, Hsthu6, Osthu6, Vsthu6;
wire Ctthu6, Jtthu6, Qtthu6, Xtthu6, Euthu6, Luthu6, Suthu6, Zuthu6, Gvthu6, Nvthu6;
wire Uvthu6, Bwthu6, Iwthu6, Pwthu6, Wwthu6, Dxthu6, Kxthu6, Rxthu6, Yxthu6, Fythu6;
wire Mythu6, Tythu6, Azthu6, Hzthu6, Ozthu6, Vzthu6, C0uhu6, J0uhu6, Q0uhu6, X0uhu6;
wire E1uhu6, L1uhu6, S1uhu6, Z1uhu6, G2uhu6, N2uhu6, U2uhu6, B3uhu6, I3uhu6, P3uhu6;
wire W3uhu6, D4uhu6, K4uhu6, R4uhu6, Y4uhu6, F5uhu6, M5uhu6, T5uhu6, A6uhu6, H6uhu6;
wire O6uhu6, V6uhu6, C7uhu6, J7uhu6, Q7uhu6, X7uhu6, E8uhu6, L8uhu6, S8uhu6, Z8uhu6;
wire G9uhu6, N9uhu6, U9uhu6, Bauhu6, Iauhu6, Pauhu6, Wauhu6, Dbuhu6, Kbuhu6, Rbuhu6;
wire Ybuhu6, Fcuhu6, Mcuhu6, Tcuhu6, Aduhu6, Hduhu6, Oduhu6, Vduhu6, Ceuhu6, Jeuhu6;
wire Qeuhu6, Xeuhu6, Efuhu6, Lfuhu6, Sfuhu6, Zfuhu6, Gguhu6, Nguhu6, Uguhu6, Bhuhu6;
wire Ihuhu6, Phuhu6, Whuhu6, Diuhu6, Kiuhu6, Riuhu6, Yiuhu6, Fjuhu6, Mjuhu6, Tjuhu6;
wire Akuhu6, Hkuhu6, Okuhu6, Vkuhu6, Cluhu6, Jluhu6, Qluhu6, Xluhu6, Emuhu6, Lmuhu6;
wire Smuhu6, Zmuhu6, Gnuhu6, Nnuhu6, Unuhu6, Bouhu6, Iouhu6, Pouhu6, Wouhu6, Dpuhu6;
wire Kpuhu6, Rpuhu6, Ypuhu6, Fquhu6, Mquhu6, Tquhu6, Aruhu6, Hruhu6, Oruhu6, Vruhu6;
wire Csuhu6, Jsuhu6, Qsuhu6, Xsuhu6, Etuhu6, Ltuhu6, Stuhu6, Ztuhu6, Guuhu6, Nuuhu6;
wire Uuuhu6, Bvuhu6, Ivuhu6, Pvuhu6, Wvuhu6, Dwuhu6, Kwuhu6, Rwuhu6, Ywuhu6, Fxuhu6;
wire Mxuhu6, Txuhu6, Ayuhu6, Hyuhu6, Oyuhu6, Vyuhu6, Czuhu6, Jzuhu6, Qzuhu6, Xzuhu6;
wire E0vhu6, L0vhu6, S0vhu6, Z0vhu6, G1vhu6, N1vhu6, U1vhu6, B2vhu6, I2vhu6, P2vhu6;
wire W2vhu6, D3vhu6, K3vhu6, R3vhu6, Y3vhu6, F4vhu6, M4vhu6, T4vhu6, A5vhu6, H5vhu6;
wire O5vhu6, V5vhu6, C6vhu6, J6vhu6, Q6vhu6, X6vhu6, E7vhu6, L7vhu6, S7vhu6, Z7vhu6;
wire G8vhu6, N8vhu6, U8vhu6, B9vhu6, I9vhu6, P9vhu6, W9vhu6, Davhu6, Kavhu6, Ravhu6;
wire Yavhu6, Fbvhu6, Mbvhu6, Tbvhu6, Acvhu6, Hcvhu6, Ocvhu6, Vcvhu6, Cdvhu6, Jdvhu6;
wire Qdvhu6, Xdvhu6, Eevhu6, Levhu6, Sevhu6, Zevhu6, Gfvhu6, Nfvhu6, Ufvhu6, Bgvhu6;
wire Igvhu6, Pgvhu6, Wgvhu6, Dhvhu6, Khvhu6, Rhvhu6, Yhvhu6, Fivhu6, Mivhu6, Tivhu6;
wire Ajvhu6, Hjvhu6, Ojvhu6, Vjvhu6, Ckvhu6, Jkvhu6, Qkvhu6, Xkvhu6, Elvhu6, Llvhu6;
wire Slvhu6, Zlvhu6, Gmvhu6, Nmvhu6, Umvhu6, Bnvhu6, Invhu6, Pnvhu6, Wnvhu6, Dovhu6;
wire Kovhu6, Rovhu6, Yovhu6, Fpvhu6, Mpvhu6, Tpvhu6, Aqvhu6, Hqvhu6, Oqvhu6, Vqvhu6;
wire Crvhu6, Jrvhu6, Qrvhu6, Xrvhu6, Esvhu6, Lsvhu6, Ssvhu6, Zsvhu6, Gtvhu6, Ntvhu6;
wire Utvhu6, Buvhu6, Iuvhu6, Puvhu6, Wuvhu6, Dvvhu6, Kvvhu6, Rvvhu6, Yvvhu6, Fwvhu6;
wire Mwvhu6, Twvhu6, Axvhu6, Hxvhu6, Oxvhu6, Vxvhu6, Cyvhu6, Jyvhu6, Qyvhu6, Xyvhu6;
wire Ezvhu6, Lzvhu6, Szvhu6, Zzvhu6, G0whu6, N0whu6, U0whu6, B1whu6, I1whu6, P1whu6;
wire W1whu6, D2whu6, K2whu6, R2whu6, Y2whu6, F3whu6, M3whu6, T3whu6, A4whu6, H4whu6;
wire O4whu6, V4whu6, C5whu6, J5whu6, Q5whu6, X5whu6, E6whu6, L6whu6, S6whu6, Z6whu6;
wire G7whu6, N7whu6, U7whu6, B8whu6, I8whu6, P8whu6, W8whu6, D9whu6, K9whu6, R9whu6;
wire Y9whu6, Fawhu6, Mawhu6, Tawhu6, Abwhu6, Hbwhu6, Obwhu6, Vbwhu6, Ccwhu6, Jcwhu6;
wire Qcwhu6, Xcwhu6, Edwhu6, Ldwhu6, Sdwhu6, Zdwhu6, Gewhu6, Newhu6, Uewhu6, Bfwhu6;
wire Ifwhu6, Pfwhu6, Wfwhu6, Dgwhu6, Kgwhu6, Rgwhu6, Ygwhu6, Fhwhu6, Mhwhu6, Thwhu6;
wire Aiwhu6, Hiwhu6, Oiwhu6, Viwhu6, Cjwhu6, Jjwhu6, Qjwhu6, Xjwhu6, Ekwhu6, Lkwhu6;
wire Skwhu6, Zkwhu6, Glwhu6, Nlwhu6, Ulwhu6, Bmwhu6, Imwhu6, Pmwhu6, Wmwhu6, Dnwhu6;
wire Knwhu6, Rnwhu6, Ynwhu6, Fowhu6, Mowhu6, Towhu6, Apwhu6, Hpwhu6, Opwhu6, Vpwhu6;
wire Cqwhu6, Jqwhu6, Qqwhu6, Xqwhu6, Erwhu6, Lrwhu6, Srwhu6, Zrwhu6, Gswhu6, Nswhu6;
wire Uswhu6, Btwhu6, Itwhu6, Ptwhu6, Wtwhu6, Duwhu6, Kuwhu6, Ruwhu6, Yuwhu6, Fvwhu6;
wire Mvwhu6, Tvwhu6, Awwhu6, Hwwhu6, Owwhu6, Vwwhu6, Cxwhu6, Jxwhu6, Qxwhu6, Xxwhu6;
wire Eywhu6, Lywhu6, Sywhu6, Zywhu6, Gzwhu6, Nzwhu6, Uzwhu6, B0xhu6, I0xhu6, P0xhu6;
wire W0xhu6, D1xhu6, K1xhu6, R1xhu6, Y1xhu6, F2xhu6, M2xhu6, T2xhu6, A3xhu6, H3xhu6;
wire O3xhu6, V3xhu6, C4xhu6, J4xhu6, Q4xhu6, X4xhu6, E5xhu6, L5xhu6, S5xhu6, Z5xhu6;
wire G6xhu6, N6xhu6, U6xhu6, B7xhu6, I7xhu6, P7xhu6, W7xhu6, D8xhu6, K8xhu6, R8xhu6;
wire Y8xhu6, F9xhu6, M9xhu6, T9xhu6, Aaxhu6, Haxhu6, Oaxhu6, Vaxhu6, Cbxhu6, Jbxhu6;
wire Qbxhu6, Xbxhu6, Ecxhu6, Lcxhu6, Scxhu6, Zcxhu6, Gdxhu6, Ndxhu6, Udxhu6, Bexhu6;
wire Iexhu6, Pexhu6, Wexhu6, Dfxhu6, Kfxhu6, Rfxhu6, Yfxhu6, Fgxhu6, Mgxhu6, Tgxhu6;
wire Ahxhu6, Hhxhu6, Ohxhu6, Vhxhu6, Cixhu6, Jixhu6, Qixhu6, Xixhu6, Ejxhu6, Ljxhu6;
wire Sjxhu6, Zjxhu6, Gkxhu6, Nkxhu6, Ukxhu6, Blxhu6, Ilxhu6, Plxhu6, Wlxhu6, Dmxhu6;
wire Kmxhu6, Rmxhu6, Ymxhu6, Fnxhu6, Mnxhu6, Tnxhu6, Aoxhu6, Hoxhu6, Ooxhu6, Voxhu6;
wire Cpxhu6, Jpxhu6, Qpxhu6, Xpxhu6, Eqxhu6, Lqxhu6, Sqxhu6, Zqxhu6, Grxhu6, Nrxhu6;
wire Urxhu6, Bsxhu6, Isxhu6, Psxhu6, Wsxhu6, Dtxhu6, Ktxhu6, Rtxhu6, Ytxhu6, Fuxhu6;
wire Muxhu6, Tuxhu6, Avxhu6, Hvxhu6, Ovxhu6, Vvxhu6, Cwxhu6, Jwxhu6, Qwxhu6, Xwxhu6;
wire Exxhu6, Lxxhu6, Sxxhu6, Zxxhu6, Gyxhu6, Nyxhu6, Uyxhu6, Bzxhu6, Izxhu6, Pzxhu6;
wire Wzxhu6, D0yhu6, K0yhu6, R0yhu6, Y0yhu6, F1yhu6, M1yhu6, T1yhu6, A2yhu6, H2yhu6;
wire O2yhu6, V2yhu6, C3yhu6, J3yhu6, Q3yhu6, X3yhu6, E4yhu6, L4yhu6, S4yhu6, Z4yhu6;
wire G5yhu6, N5yhu6, U5yhu6, B6yhu6, I6yhu6, P6yhu6, W6yhu6, D7yhu6, K7yhu6, R7yhu6;
wire Y7yhu6, F8yhu6, M8yhu6, T8yhu6, A9yhu6, H9yhu6, O9yhu6, V9yhu6, Cayhu6, Jayhu6;
wire Qayhu6, Xayhu6, Ebyhu6, Lbyhu6, Sbyhu6, Zbyhu6, Gcyhu6, Ncyhu6, Ucyhu6, Bdyhu6;
wire Idyhu6, Pdyhu6, Wdyhu6, Deyhu6, Keyhu6, Reyhu6, Yeyhu6, Ffyhu6, Mfyhu6, Tfyhu6;
wire Agyhu6, Hgyhu6, Ogyhu6, Vgyhu6, Chyhu6, Jhyhu6, Qhyhu6, Xhyhu6, Eiyhu6, Liyhu6;
wire Siyhu6, Ziyhu6, Gjyhu6, Njyhu6, Ujyhu6, Bkyhu6, Ikyhu6, Pkyhu6, Wkyhu6, Dlyhu6;
wire Klyhu6, Rlyhu6, Ylyhu6, Fmyhu6, Mmyhu6, Tmyhu6, Anyhu6, Hnyhu6, Onyhu6, Vnyhu6;
wire Coyhu6, Joyhu6, Qoyhu6, Xoyhu6, Epyhu6, Lpyhu6, Spyhu6, Zpyhu6, Gqyhu6, Nqyhu6;
wire Uqyhu6, Bryhu6, Iryhu6, Pryhu6, Wryhu6, Dsyhu6, Ksyhu6, Rsyhu6, Ysyhu6, Ftyhu6;
wire Mtyhu6, Ttyhu6, Auyhu6, Huyhu6, Ouyhu6, Vuyhu6, Cvyhu6, Jvyhu6, Qvyhu6, Xvyhu6;
wire Ewyhu6, Lwyhu6, Swyhu6, Zwyhu6, Gxyhu6, Nxyhu6, Uxyhu6, Byyhu6, Iyyhu6, Pyyhu6;
wire Wyyhu6, Dzyhu6, Kzyhu6, Rzyhu6, Yzyhu6, F0zhu6, M0zhu6, T0zhu6, A1zhu6, H1zhu6;
wire O1zhu6, V1zhu6, C2zhu6, J2zhu6, Q2zhu6, X2zhu6, E3zhu6, L3zhu6, S3zhu6, Z3zhu6;
wire G4zhu6, N4zhu6, U4zhu6, B5zhu6, I5zhu6, P5zhu6, W5zhu6, D6zhu6, K6zhu6, R6zhu6;
wire Y6zhu6, F7zhu6, M7zhu6, T7zhu6, A8zhu6, H8zhu6, O8zhu6, V8zhu6, C9zhu6, J9zhu6;
wire Q9zhu6, X9zhu6, Eazhu6, Lazhu6, Sazhu6, Zazhu6, Gbzhu6, Nbzhu6, Ubzhu6, Bczhu6;
wire Iczhu6, Pczhu6, Wczhu6, Ddzhu6, Kdzhu6, Rdzhu6, Ydzhu6, Fezhu6, Mezhu6, Tezhu6;
wire Afzhu6, Hfzhu6, Ofzhu6, Vfzhu6, Cgzhu6, Jgzhu6, Qgzhu6, Xgzhu6, Ehzhu6, Lhzhu6;
wire Shzhu6, Zhzhu6, Gizhu6, Nizhu6, Uizhu6, Bjzhu6, Ijzhu6, Pjzhu6, Wjzhu6, Dkzhu6;
wire Kkzhu6, Rkzhu6, Ykzhu6, Flzhu6, Mlzhu6, Tlzhu6, Amzhu6, Hmzhu6, Omzhu6, Vmzhu6;
wire Cnzhu6, Jnzhu6, Qnzhu6, Xnzhu6, Eozhu6, Lozhu6, Sozhu6, Zozhu6, Gpzhu6, Npzhu6;
wire Upzhu6, Bqzhu6, Iqzhu6, Pqzhu6, Wqzhu6, Drzhu6, Krzhu6, Rrzhu6, Yrzhu6, Fszhu6;
wire Mszhu6, Tszhu6, Atzhu6, Htzhu6, Otzhu6, Vtzhu6, Cuzhu6, Juzhu6, Quzhu6, Xuzhu6;
wire Evzhu6, Lvzhu6, Svzhu6, Zvzhu6, Gwzhu6, Nwzhu6, Uwzhu6, Bxzhu6, Ixzhu6, Pxzhu6;
wire Wxzhu6, Dyzhu6, Kyzhu6, Ryzhu6, Yyzhu6, Fzzhu6, Mzzhu6, Tzzhu6, A00iu6, H00iu6;
wire O00iu6, V00iu6, C10iu6, J10iu6, Q10iu6, X10iu6, E20iu6, L20iu6, S20iu6, Z20iu6;
wire G30iu6, N30iu6, U30iu6, B40iu6, I40iu6, P40iu6, W40iu6, D50iu6, K50iu6, R50iu6;
wire Y50iu6, F60iu6, M60iu6, T60iu6, A70iu6, H70iu6, O70iu6, V70iu6, C80iu6, J80iu6;
wire Q80iu6, X80iu6, E90iu6, L90iu6, S90iu6, Z90iu6, Ga0iu6, Na0iu6, Ua0iu6, Bb0iu6;
wire Ib0iu6, Pb0iu6, Wb0iu6, Dc0iu6, Kc0iu6, Rc0iu6, Yc0iu6, Fd0iu6, Md0iu6, Td0iu6;
wire Ae0iu6, He0iu6, Oe0iu6, Ve0iu6, Cf0iu6, Jf0iu6, Qf0iu6, Xf0iu6, Eg0iu6, Lg0iu6;
wire Sg0iu6, Zg0iu6, Gh0iu6, Nh0iu6, Uh0iu6, Bi0iu6, Ii0iu6, Pi0iu6, Wi0iu6, Dj0iu6;
wire Kj0iu6, Rj0iu6, Yj0iu6, Fk0iu6, Mk0iu6, Tk0iu6, Al0iu6, Hl0iu6, Ol0iu6, Vl0iu6;
wire Cm0iu6, Jm0iu6, Qm0iu6, Xm0iu6, En0iu6, Ln0iu6, Sn0iu6, Zn0iu6, Go0iu6, No0iu6;
wire Uo0iu6, Bp0iu6, Ip0iu6, Pp0iu6, Wp0iu6, Dq0iu6, Kq0iu6, Rq0iu6, Yq0iu6, Fr0iu6;
wire Mr0iu6, Tr0iu6, As0iu6, Hs0iu6, Os0iu6, Vs0iu6, Ct0iu6, Jt0iu6, Qt0iu6, Xt0iu6;
wire Eu0iu6, Lu0iu6, Su0iu6, Zu0iu6, Gv0iu6, Nv0iu6, Uv0iu6, Bw0iu6, Iw0iu6, Pw0iu6;
wire Ww0iu6, Dx0iu6, Kx0iu6, Rx0iu6, Yx0iu6, Fy0iu6, My0iu6, Ty0iu6, Az0iu6, Hz0iu6;
wire Oz0iu6, Vz0iu6, C01iu6, J01iu6, Q01iu6, X01iu6, E11iu6, L11iu6, S11iu6, Z11iu6;
wire G21iu6, N21iu6, U21iu6, B31iu6, I31iu6, P31iu6, W31iu6, D41iu6, K41iu6, R41iu6;
wire Y41iu6, F51iu6, M51iu6, T51iu6, A61iu6, H61iu6, O61iu6, V61iu6, C71iu6, J71iu6;
wire Q71iu6, X71iu6, E81iu6, L81iu6, S81iu6, Z81iu6, G91iu6, N91iu6, U91iu6, Ba1iu6;
wire Ia1iu6, Pa1iu6, Wa1iu6, Db1iu6, Kb1iu6, Rb1iu6, Yb1iu6, Fc1iu6, Mc1iu6, Tc1iu6;
wire Ad1iu6, Hd1iu6, Od1iu6, Vd1iu6, Ce1iu6, Je1iu6, Qe1iu6, Xe1iu6, Ef1iu6, Lf1iu6;
wire Sf1iu6, Zf1iu6, Gg1iu6, Ng1iu6, Ug1iu6, Bh1iu6, Ih1iu6, Ph1iu6, Wh1iu6, Di1iu6;
wire Ki1iu6, Ri1iu6, Yi1iu6, Fj1iu6, Mj1iu6, Tj1iu6, Ak1iu6, Hk1iu6, Ok1iu6, Vk1iu6;
wire Cl1iu6, Jl1iu6, Ql1iu6, Xl1iu6, Em1iu6, Lm1iu6, Sm1iu6, Zm1iu6, Gn1iu6, Nn1iu6;
wire Un1iu6, Bo1iu6, Io1iu6, Po1iu6, Wo1iu6, Dp1iu6, Kp1iu6, Rp1iu6, Yp1iu6, Fq1iu6;
wire Mq1iu6, Tq1iu6, Ar1iu6, Hr1iu6, Or1iu6, Vr1iu6, Cs1iu6, Js1iu6, Qs1iu6, Xs1iu6;
wire Et1iu6, Lt1iu6, St1iu6, Zt1iu6, Gu1iu6, Nu1iu6, Uu1iu6, Bv1iu6, Iv1iu6, Pv1iu6;
wire Wv1iu6, Dw1iu6, Kw1iu6, Rw1iu6, Yw1iu6, Fx1iu6, Mx1iu6, Tx1iu6, Ay1iu6, Hy1iu6;
wire Oy1iu6, Vy1iu6, Cz1iu6, Jz1iu6, Qz1iu6, Xz1iu6, E02iu6, L02iu6, S02iu6, Z02iu6;
wire G12iu6, N12iu6, U12iu6, B22iu6, I22iu6, P22iu6, W22iu6, D32iu6, K32iu6, R32iu6;
wire Y32iu6, F42iu6, M42iu6, T42iu6, A52iu6, H52iu6, O52iu6, V52iu6, C62iu6, J62iu6;
wire Q62iu6, X62iu6, E72iu6, L72iu6, S72iu6, Z72iu6, G82iu6, N82iu6, U82iu6, B92iu6;
wire I92iu6, P92iu6, W92iu6, Da2iu6, Ka2iu6, Ra2iu6, Ya2iu6, Fb2iu6, Mb2iu6, Tb2iu6;
wire Ac2iu6, Hc2iu6, Oc2iu6, Vc2iu6, Cd2iu6, Jd2iu6, Qd2iu6, Xd2iu6, Ee2iu6, Le2iu6;
wire Se2iu6, Ze2iu6, Gf2iu6, Nf2iu6, Uf2iu6, Bg2iu6, Ig2iu6, Pg2iu6, Wg2iu6, Dh2iu6;
wire Kh2iu6, Rh2iu6, Yh2iu6, Fi2iu6, Mi2iu6, Ti2iu6, Aj2iu6, Hj2iu6, Oj2iu6, Vj2iu6;
wire Ck2iu6, Jk2iu6, Qk2iu6, Xk2iu6, El2iu6, Ll2iu6, Sl2iu6, Zl2iu6, Gm2iu6, Nm2iu6;
wire Um2iu6, Bn2iu6, In2iu6, Pn2iu6, Wn2iu6, Do2iu6, Ko2iu6, Ro2iu6, Yo2iu6, Fp2iu6;
wire Mp2iu6, Tp2iu6, Aq2iu6, Hq2iu6, Oq2iu6, Vq2iu6, Cr2iu6, Jr2iu6, Qr2iu6, Xr2iu6;
wire Es2iu6, Ls2iu6, Ss2iu6, Zs2iu6, Gt2iu6, Nt2iu6, Ut2iu6, Bu2iu6, Iu2iu6, Pu2iu6;
wire Wu2iu6, Dv2iu6, Kv2iu6, Rv2iu6, Yv2iu6, Fw2iu6, Mw2iu6, Tw2iu6, Ax2iu6, Hx2iu6;
wire Ox2iu6, Vx2iu6, Cy2iu6, Jy2iu6, Qy2iu6, Xy2iu6, Ez2iu6, Lz2iu6, Sz2iu6, Zz2iu6;
wire G03iu6, N03iu6, U03iu6, B13iu6, I13iu6, P13iu6, W13iu6, D23iu6, K23iu6, R23iu6;
wire Y23iu6, F33iu6, M33iu6, T33iu6, A43iu6, H43iu6, O43iu6, V43iu6, C53iu6, J53iu6;
wire Q53iu6, X53iu6, E63iu6, L63iu6, S63iu6, Z63iu6, G73iu6, N73iu6, U73iu6, B83iu6;
wire I83iu6, P83iu6, W83iu6, D93iu6, K93iu6, R93iu6, Y93iu6, Fa3iu6, Ma3iu6, Ta3iu6;
wire Ab3iu6, Hb3iu6, Ob3iu6, Vb3iu6, Cc3iu6, Jc3iu6, Qc3iu6, Xc3iu6, Ed3iu6, Ld3iu6;
wire Sd3iu6, Zd3iu6, Ge3iu6, Ne3iu6, Ue3iu6, Bf3iu6, If3iu6, Pf3iu6, Wf3iu6, Dg3iu6;
wire Kg3iu6, Rg3iu6, Yg3iu6, Fh3iu6, Mh3iu6, Th3iu6, Ai3iu6, Hi3iu6, Oi3iu6, Vi3iu6;
wire Cj3iu6, Jj3iu6, Qj3iu6, Xj3iu6, Ek3iu6, Lk3iu6, Sk3iu6, Zk3iu6, Gl3iu6, Nl3iu6;
wire Ul3iu6, Bm3iu6, Im3iu6, Pm3iu6, Wm3iu6, Dn3iu6, Kn3iu6, Rn3iu6, Yn3iu6, Fo3iu6;
wire Mo3iu6, To3iu6, Ap3iu6, Hp3iu6, Op3iu6, Vp3iu6, Cq3iu6, Jq3iu6, Qq3iu6, Xq3iu6;
wire Er3iu6, Lr3iu6, Sr3iu6, Zr3iu6, Gs3iu6, Ns3iu6, Us3iu6, Bt3iu6, It3iu6, Pt3iu6;
wire Wt3iu6, Du3iu6, Ku3iu6, Ru3iu6, Yu3iu6, Fv3iu6, Mv3iu6, Tv3iu6, Aw3iu6, Hw3iu6;
wire Ow3iu6, Vw3iu6, Cx3iu6, Jx3iu6, Qx3iu6, Xx3iu6, Ey3iu6, Ly3iu6, Sy3iu6, Zy3iu6;
wire Gz3iu6, Nz3iu6, Uz3iu6, B04iu6, I04iu6, P04iu6, W04iu6, D14iu6, K14iu6, R14iu6;
wire Y14iu6, F24iu6, M24iu6, T24iu6, A34iu6, H34iu6, O34iu6, V34iu6, C44iu6, J44iu6;
wire Q44iu6, X44iu6, E54iu6, L54iu6, S54iu6, Z54iu6, G64iu6, N64iu6, U64iu6, B74iu6;
wire I74iu6, P74iu6, W74iu6, D84iu6, K84iu6, R84iu6, Y84iu6, F94iu6, M94iu6, T94iu6;
wire Aa4iu6, Ha4iu6, Oa4iu6, Va4iu6, Cb4iu6, Jb4iu6, Qb4iu6, Xb4iu6, Ec4iu6, Lc4iu6;
wire Sc4iu6, Zc4iu6, Gd4iu6, Nd4iu6, Ud4iu6, Be4iu6, Ie4iu6, Pe4iu6, We4iu6, Df4iu6;
wire Kf4iu6, Rf4iu6, Yf4iu6, Fg4iu6, Mg4iu6, Tg4iu6, Ah4iu6, Hh4iu6, Oh4iu6, Vh4iu6;
wire Ci4iu6, Ji4iu6, Qi4iu6, Xi4iu6, Ej4iu6, Lj4iu6, Sj4iu6, Zj4iu6, Gk4iu6, Nk4iu6;
wire Uk4iu6, Bl4iu6, Il4iu6, Pl4iu6, Wl4iu6, Dm4iu6, Km4iu6, Rm4iu6, Ym4iu6, Fn4iu6;
wire Mn4iu6, Tn4iu6, Ao4iu6, Ho4iu6, Oo4iu6, Vo4iu6, Cp4iu6, Jp4iu6, Qp4iu6, Xp4iu6;
wire Eq4iu6, Lq4iu6, Sq4iu6, Zq4iu6, Gr4iu6, Nr4iu6, Ur4iu6, Bs4iu6, Is4iu6, Ps4iu6;
wire Ws4iu6, Dt4iu6, Kt4iu6, Rt4iu6, Yt4iu6, Fu4iu6, Mu4iu6, Tu4iu6, Av4iu6, Hv4iu6;
wire Ov4iu6, Vv4iu6, Cw4iu6, Jw4iu6, Qw4iu6, Xw4iu6, Ex4iu6, Lx4iu6, Sx4iu6, Zx4iu6;
wire Gy4iu6, Ny4iu6, Uy4iu6, Bz4iu6, Iz4iu6, Pz4iu6, Wz4iu6, D05iu6, K05iu6, R05iu6;
wire Y05iu6, F15iu6, M15iu6, T15iu6, A25iu6, H25iu6, O25iu6, V25iu6, C35iu6, J35iu6;
wire Q35iu6, X35iu6, E45iu6, L45iu6, S45iu6, Z45iu6, G55iu6, N55iu6, U55iu6, B65iu6;
wire I65iu6, P65iu6, W65iu6, D75iu6, K75iu6, R75iu6, Y75iu6, F85iu6, M85iu6, T85iu6;
wire A95iu6, H95iu6, O95iu6, V95iu6, Ca5iu6, Ja5iu6, Qa5iu6, Xa5iu6, Eb5iu6, Lb5iu6;
wire Sb5iu6, Zb5iu6, Gc5iu6, Nc5iu6, Uc5iu6, Bd5iu6, Id5iu6, Pd5iu6, Wd5iu6, De5iu6;
wire Ke5iu6, Re5iu6, Ye5iu6, Ff5iu6, Mf5iu6, Tf5iu6, Ag5iu6, Hg5iu6, Og5iu6, Vg5iu6;
wire Ch5iu6, Jh5iu6, Qh5iu6, Xh5iu6, Ei5iu6, Li5iu6, Si5iu6, Zi5iu6, Gj5iu6, Nj5iu6;
wire Uj5iu6, Bk5iu6, Ik5iu6, Pk5iu6, Wk5iu6, Dl5iu6, Kl5iu6, Rl5iu6, Yl5iu6, Fm5iu6;
wire Mm5iu6, Tm5iu6, An5iu6, Hn5iu6, On5iu6, Vn5iu6, Co5iu6, Jo5iu6, Qo5iu6, Xo5iu6;
wire Ep5iu6, Lp5iu6, Sp5iu6, Zp5iu6, Gq5iu6, Nq5iu6, Uq5iu6, Br5iu6, Ir5iu6, Pr5iu6;
wire Wr5iu6, Ds5iu6, Ks5iu6, Rs5iu6, Ys5iu6, Ft5iu6, Mt5iu6, Tt5iu6, Au5iu6, Hu5iu6;
wire Ou5iu6, Vu5iu6, Cv5iu6, Jv5iu6, Qv5iu6, Xv5iu6, Ew5iu6, Lw5iu6, Sw5iu6, Zw5iu6;
wire Gx5iu6, Nx5iu6, Ux5iu6, By5iu6, Iy5iu6, Py5iu6, Wy5iu6, Dz5iu6, Kz5iu6, Rz5iu6;
wire Yz5iu6, F06iu6, M06iu6, T06iu6, A16iu6, H16iu6, O16iu6, V16iu6, C26iu6, J26iu6;
wire Q26iu6, X26iu6, E36iu6, L36iu6, S36iu6, Z36iu6, G46iu6, N46iu6, U46iu6, B56iu6;
wire I56iu6, P56iu6, W56iu6, D66iu6, K66iu6, R66iu6, Y66iu6, F76iu6, M76iu6, T76iu6;
wire A86iu6, H86iu6, O86iu6, V86iu6, C96iu6, J96iu6, Q96iu6, X96iu6, Ea6iu6, La6iu6;
wire Sa6iu6, Za6iu6, Gb6iu6, Nb6iu6, Ub6iu6, Bc6iu6, Ic6iu6, Pc6iu6, Wc6iu6, Dd6iu6;
wire Kd6iu6, Rd6iu6, Yd6iu6, Fe6iu6, Me6iu6, Te6iu6, Af6iu6, Hf6iu6, Of6iu6, Vf6iu6;
wire Cg6iu6, Jg6iu6, Qg6iu6, Xg6iu6, Eh6iu6, Lh6iu6, Sh6iu6, Zh6iu6, Gi6iu6, Ni6iu6;
wire Ui6iu6, Bj6iu6, Ij6iu6, Pj6iu6, Wj6iu6, Dk6iu6, Kk6iu6, Rk6iu6, Yk6iu6, Fl6iu6;
wire Ml6iu6, Tl6iu6, Am6iu6, Hm6iu6, Om6iu6, Vm6iu6, Cn6iu6, Jn6iu6, Qn6iu6, Xn6iu6;
wire Eo6iu6, Lo6iu6, So6iu6, Zo6iu6, Gp6iu6, Np6iu6, Up6iu6, Bq6iu6, Iq6iu6, Pq6iu6;
wire Wq6iu6, Dr6iu6, Kr6iu6, Rr6iu6, Yr6iu6, Fs6iu6, Ms6iu6, Ts6iu6, At6iu6, Ht6iu6;
wire Ot6iu6, Vt6iu6, Cu6iu6, Ju6iu6, Qu6iu6, Xu6iu6, Ev6iu6, Lv6iu6, Sv6iu6, Zv6iu6;
wire Gw6iu6, Nw6iu6, Uw6iu6, Bx6iu6, Ix6iu6, Px6iu6, Wx6iu6, Dy6iu6, Ky6iu6, Ry6iu6;
wire Yy6iu6, Fz6iu6, Mz6iu6, Tz6iu6, A07iu6, H07iu6, O07iu6, V07iu6, C17iu6, J17iu6;
wire Q17iu6, X17iu6, E27iu6, L27iu6, S27iu6, Z27iu6, G37iu6, N37iu6, U37iu6, B47iu6;
wire I47iu6, P47iu6, W47iu6, D57iu6, K57iu6, R57iu6, Y57iu6, F67iu6, M67iu6, T67iu6;
wire A77iu6, H77iu6, O77iu6, V77iu6, C87iu6, J87iu6, Q87iu6, X87iu6, E97iu6, L97iu6;
wire S97iu6, Z97iu6, Ga7iu6, Na7iu6, Ua7iu6, Bb7iu6, Ib7iu6, Pb7iu6, Wb7iu6, Dc7iu6;
wire Kc7iu6, Rc7iu6, Yc7iu6, Fd7iu6, Md7iu6, Td7iu6, Ae7iu6, He7iu6, Oe7iu6, Ve7iu6;
wire Cf7iu6, Jf7iu6, Qf7iu6, Xf7iu6, Eg7iu6, Lg7iu6, Sg7iu6, Zg7iu6, Gh7iu6, Nh7iu6;
wire Uh7iu6, Bi7iu6, Ii7iu6, Pi7iu6, Wi7iu6, Dj7iu6, Kj7iu6, Rj7iu6, Yj7iu6, Fk7iu6;
wire Mk7iu6, Tk7iu6, Al7iu6, Hl7iu6, Ol7iu6, Vl7iu6, Cm7iu6, Jm7iu6, Qm7iu6, Xm7iu6;
wire En7iu6, Ln7iu6, Sn7iu6, Zn7iu6, Go7iu6, No7iu6, Uo7iu6, Bp7iu6, Ip7iu6, Pp7iu6;
wire Wp7iu6, Dq7iu6, Kq7iu6, Rq7iu6, Yq7iu6, Fr7iu6, Mr7iu6, Tr7iu6, As7iu6, Hs7iu6;
wire Os7iu6, Vs7iu6, Ct7iu6, Jt7iu6, Qt7iu6, Xt7iu6, Eu7iu6, Lu7iu6, Su7iu6, Zu7iu6;
wire Gv7iu6, Nv7iu6, Uv7iu6, Bw7iu6, Iw7iu6, Pw7iu6, Ww7iu6, Dx7iu6, Kx7iu6, Rx7iu6;
wire Yx7iu6, Fy7iu6, My7iu6, Ty7iu6, Az7iu6, Hz7iu6, Oz7iu6, Vz7iu6, C08iu6, J08iu6;
wire Q08iu6, X08iu6, E18iu6, L18iu6, S18iu6, Z18iu6, G28iu6, N28iu6, U28iu6, B38iu6;
wire I38iu6, P38iu6, W38iu6, D48iu6, K48iu6, R48iu6, Y48iu6, F58iu6, M58iu6, T58iu6;
wire A68iu6, H68iu6, O68iu6, V68iu6, C78iu6, J78iu6, Q78iu6, X78iu6, E88iu6, L88iu6;
wire S88iu6, Z88iu6, G98iu6, N98iu6, U98iu6, Ba8iu6, Ia8iu6, Pa8iu6, Wa8iu6, Db8iu6;
wire Kb8iu6, Rb8iu6, Yb8iu6, Fc8iu6, Mc8iu6, Tc8iu6, Ad8iu6, Hd8iu6, Od8iu6, Vd8iu6;
wire Ce8iu6, Je8iu6, Qe8iu6, Xe8iu6, Ef8iu6, Lf8iu6, Sf8iu6, Zf8iu6, Gg8iu6, Ng8iu6;
wire Ug8iu6, Bh8iu6, Ih8iu6, Ph8iu6, Wh8iu6, Di8iu6, Ki8iu6, Ri8iu6, Yi8iu6, Fj8iu6;
wire Mj8iu6, Tj8iu6, Ak8iu6, Hk8iu6, Ok8iu6, Vk8iu6, Cl8iu6, Jl8iu6, Ql8iu6, Xl8iu6;
wire Em8iu6, Lm8iu6, Sm8iu6, Zm8iu6, Gn8iu6, Nn8iu6, Un8iu6, Bo8iu6, Io8iu6, Po8iu6;
wire Wo8iu6, Dp8iu6, Kp8iu6, Rp8iu6, Yp8iu6, Fq8iu6, Mq8iu6, Tq8iu6, Ar8iu6, Hr8iu6;
wire Or8iu6, Vr8iu6, Cs8iu6, Js8iu6, Qs8iu6, Xs8iu6, Et8iu6, Lt8iu6, St8iu6, Zt8iu6;
wire Gu8iu6, Nu8iu6, Uu8iu6, Bv8iu6, Iv8iu6, Pv8iu6, Wv8iu6, Dw8iu6, Kw8iu6, Rw8iu6;
wire Yw8iu6, Fx8iu6, Mx8iu6, Tx8iu6, Ay8iu6, Hy8iu6, Oy8iu6, Vy8iu6, Cz8iu6, Jz8iu6;
wire Qz8iu6, Xz8iu6, E09iu6, L09iu6, S09iu6, Z09iu6, G19iu6, N19iu6, U19iu6, B29iu6;
wire I29iu6, P29iu6, W29iu6, D39iu6, K39iu6, R39iu6, Y39iu6, F49iu6, M49iu6, T49iu6;
wire A59iu6, H59iu6, O59iu6, V59iu6, C69iu6, J69iu6, Q69iu6, X69iu6, E79iu6, L79iu6;
wire S79iu6, Z79iu6, G89iu6, N89iu6, U89iu6, B99iu6, I99iu6, P99iu6, W99iu6, Da9iu6;
wire Ka9iu6, Ra9iu6, Ya9iu6, Fb9iu6, Mb9iu6, Tb9iu6, Ac9iu6, Hc9iu6, Oc9iu6, Vc9iu6;
wire Cd9iu6, Jd9iu6, Qd9iu6, Xd9iu6, Ee9iu6, Le9iu6, Se9iu6, Ze9iu6, Gf9iu6, Nf9iu6;
wire Uf9iu6, Bg9iu6, Ig9iu6, Pg9iu6, Wg9iu6, Dh9iu6, Kh9iu6, Rh9iu6, Yh9iu6, Fi9iu6;
wire Mi9iu6, Ti9iu6, Aj9iu6, Hj9iu6, Oj9iu6, Vj9iu6, Ck9iu6, Jk9iu6, Qk9iu6, Xk9iu6;
wire El9iu6, Ll9iu6, Sl9iu6, Zl9iu6, Gm9iu6, Nm9iu6, Um9iu6, Bn9iu6, In9iu6, Pn9iu6;
wire Wn9iu6, Do9iu6, Ko9iu6, Ro9iu6, Yo9iu6, Fp9iu6, Mp9iu6, Tp9iu6, Aq9iu6, Hq9iu6;
wire Oq9iu6, Vq9iu6, Cr9iu6, Jr9iu6, Qr9iu6, Xr9iu6, Es9iu6, Ls9iu6, Ss9iu6, Zs9iu6;
wire Gt9iu6, Nt9iu6, Ut9iu6, Bu9iu6, Iu9iu6, Pu9iu6, Wu9iu6, Dv9iu6, Kv9iu6, Rv9iu6;
wire Yv9iu6, Fw9iu6, Mw9iu6, Tw9iu6, Ax9iu6, Hx9iu6, Ox9iu6, Vx9iu6, Cy9iu6, Jy9iu6;
wire Qy9iu6, Xy9iu6, Ez9iu6, Lz9iu6, Sz9iu6, Zz9iu6, G0aiu6, N0aiu6, U0aiu6, B1aiu6;
wire I1aiu6, P1aiu6, W1aiu6, D2aiu6, K2aiu6, R2aiu6, Y2aiu6, F3aiu6, M3aiu6, T3aiu6;
wire A4aiu6, H4aiu6, O4aiu6, V4aiu6, C5aiu6, J5aiu6, Q5aiu6, X5aiu6, E6aiu6, L6aiu6;
wire S6aiu6, Z6aiu6, G7aiu6, N7aiu6, U7aiu6, B8aiu6, I8aiu6, P8aiu6, W8aiu6, D9aiu6;
wire K9aiu6, R9aiu6, Y9aiu6, Faaiu6, Maaiu6, Taaiu6, Abaiu6, Hbaiu6, Obaiu6, Vbaiu6;
wire Ccaiu6, Jcaiu6, Qcaiu6, Xcaiu6, Edaiu6, Ldaiu6, Sdaiu6, Zdaiu6, Geaiu6, Neaiu6;
wire Ueaiu6, Bfaiu6, Ifaiu6, Pfaiu6, Wfaiu6, Dgaiu6, Kgaiu6, Rgaiu6, Ygaiu6, Fhaiu6;
wire Mhaiu6, Thaiu6, Aiaiu6, Hiaiu6, Oiaiu6, Viaiu6, Cjaiu6, Jjaiu6, Qjaiu6, Xjaiu6;
wire Ekaiu6, Lkaiu6, Skaiu6, Zkaiu6, Glaiu6, Nlaiu6, Ulaiu6, Bmaiu6, Imaiu6, Pmaiu6;
wire Wmaiu6, Dnaiu6, Knaiu6, Rnaiu6, Ynaiu6, Foaiu6, Moaiu6, Toaiu6, Apaiu6, Hpaiu6;
wire Opaiu6, Vpaiu6, Cqaiu6, Jqaiu6, Qqaiu6, Xqaiu6, Eraiu6, Lraiu6, Sraiu6, Zraiu6;
wire Gsaiu6, Nsaiu6, Usaiu6, Btaiu6, Itaiu6, Ptaiu6, Wtaiu6, Duaiu6, Kuaiu6, Ruaiu6;
wire Yuaiu6, Fvaiu6, Mvaiu6, Tvaiu6, Awaiu6, Hwaiu6, Owaiu6, Vwaiu6, Cxaiu6, Jxaiu6;
wire Qxaiu6, Xxaiu6, Eyaiu6, Lyaiu6, Syaiu6, Zyaiu6, Gzaiu6, Nzaiu6, Uzaiu6, B0biu6;
wire I0biu6, P0biu6, W0biu6, D1biu6, K1biu6, R1biu6, Y1biu6, F2biu6, M2biu6, T2biu6;
wire A3biu6, H3biu6, O3biu6, V3biu6, C4biu6, J4biu6, Q4biu6, X4biu6, E5biu6, L5biu6;
wire S5biu6, Z5biu6, G6biu6, N6biu6, U6biu6, B7biu6, I7biu6, P7biu6, W7biu6, D8biu6;
wire K8biu6, R8biu6, Y8biu6, F9biu6, M9biu6, T9biu6, Aabiu6, Habiu6, Oabiu6, Vabiu6;
wire Cbbiu6, Jbbiu6, Qbbiu6, Xbbiu6, Ecbiu6, Lcbiu6, Scbiu6, Zcbiu6, Gdbiu6, Ndbiu6;
wire Udbiu6, Bebiu6, Iebiu6, Pebiu6, Webiu6, Dfbiu6, Kfbiu6, Rfbiu6, Yfbiu6, Fgbiu6;
wire Mgbiu6, Tgbiu6, Ahbiu6, Hhbiu6, Ohbiu6, Vhbiu6, Cibiu6, Jibiu6, Qibiu6, Xibiu6;
wire Ejbiu6, Ljbiu6, Sjbiu6, Zjbiu6, Gkbiu6, Nkbiu6, Ukbiu6, Blbiu6, Ilbiu6, Plbiu6;
wire Wlbiu6, Dmbiu6, Kmbiu6, Rmbiu6, Ymbiu6, Fnbiu6, Mnbiu6, Tnbiu6, Aobiu6, Hobiu6;
wire Oobiu6, Vobiu6, Cpbiu6, Jpbiu6, Qpbiu6, Xpbiu6, Eqbiu6, Lqbiu6, Sqbiu6, Zqbiu6;
wire Grbiu6, Nrbiu6, Urbiu6, Bsbiu6, Isbiu6, Psbiu6, Wsbiu6, Dtbiu6, Ktbiu6, Rtbiu6;
wire Ytbiu6, Fubiu6, Mubiu6, Tubiu6, Avbiu6, Hvbiu6, Ovbiu6, Vvbiu6, Cwbiu6, Jwbiu6;
wire Qwbiu6, Xwbiu6, Exbiu6, Lxbiu6, Sxbiu6, Zxbiu6, Gybiu6, Nybiu6, Uybiu6, Bzbiu6;
wire Izbiu6, Pzbiu6, Wzbiu6, D0ciu6, K0ciu6, R0ciu6, Y0ciu6, F1ciu6, M1ciu6, T1ciu6;
wire A2ciu6, H2ciu6, O2ciu6, V2ciu6, C3ciu6, J3ciu6, Q3ciu6, X3ciu6, E4ciu6, L4ciu6;
wire S4ciu6, Z4ciu6, G5ciu6, N5ciu6, U5ciu6, B6ciu6, I6ciu6, P6ciu6, W6ciu6, D7ciu6;
wire K7ciu6, R7ciu6, Y7ciu6, F8ciu6, M8ciu6, T8ciu6, A9ciu6, H9ciu6, O9ciu6, V9ciu6;
wire Caciu6, Jaciu6, Qaciu6, Xaciu6, Ebciu6, Lbciu6, Sbciu6, Zbciu6, Gcciu6, Ncciu6;
wire Ucciu6, Bdciu6, Idciu6, Pdciu6, Wdciu6, Deciu6, Keciu6, Reciu6, Yeciu6, Ffciu6;
wire Mfciu6, Tfciu6, Agciu6, Hgciu6, Ogciu6, Vgciu6, Chciu6, Jhciu6, Qhciu6, Xhciu6;
wire Eiciu6, Liciu6, Siciu6, Ziciu6, Gjciu6, Njciu6, Ujciu6, Bkciu6, Ikciu6, Pkciu6;
wire Wkciu6, Dlciu6, Klciu6, Rlciu6, Ylciu6, Fmciu6, Mmciu6, Tmciu6, Anciu6, Hnciu6;
wire Onciu6, Vnciu6, Cociu6, Jociu6, Qociu6, Xociu6, Epciu6, Lpciu6, Spciu6, Zpciu6;
wire Gqciu6, Nqciu6, Uqciu6, Brciu6, Irciu6, Prciu6, Wrciu6, Dsciu6, Ksciu6, Rsciu6;
wire Ysciu6, Ftciu6, Mtciu6, Ttciu6, Auciu6, Huciu6, Ouciu6, Vuciu6, Cvciu6, Jvciu6;
wire Qvciu6, Xvciu6, Ewciu6, Lwciu6, Swciu6, Zwciu6, Gxciu6, Nxciu6, Uxciu6, Byciu6;
wire Iyciu6, Pyciu6, Wyciu6, Dzciu6, Kzciu6, Rzciu6, Yzciu6, F0diu6, M0diu6, T0diu6;
wire A1diu6, H1diu6, O1diu6, V1diu6, C2diu6, J2diu6, Q2diu6, X2diu6, E3diu6, L3diu6;
wire S3diu6, Z3diu6, G4diu6, N4diu6, U4diu6, B5diu6, I5diu6, P5diu6, W5diu6, D6diu6;
wire K6diu6, R6diu6, Y6diu6, F7diu6, M7diu6, T7diu6, A8diu6, H8diu6, O8diu6, V8diu6;
wire C9diu6, J9diu6, Q9diu6, X9diu6, Eadiu6, Ladiu6, Sadiu6, Zadiu6, Gbdiu6, Nbdiu6;
wire Ubdiu6, Bcdiu6, Icdiu6, Pcdiu6, Wcdiu6, Dddiu6, Kddiu6, Rddiu6, Yddiu6, Fediu6;
wire Mediu6, Tediu6, Afdiu6, Hfdiu6, Ofdiu6, Vfdiu6, Cgdiu6, Jgdiu6, Qgdiu6, Xgdiu6;
wire Ehdiu6, Lhdiu6, Shdiu6, Zhdiu6, Gidiu6, Nidiu6, Uidiu6, Bjdiu6, Ijdiu6, Pjdiu6;
wire Wjdiu6, Dkdiu6, Kkdiu6, Rkdiu6, Ykdiu6, Fldiu6, Mldiu6, Tldiu6, Amdiu6, Hmdiu6;
wire Omdiu6, Vmdiu6, Cndiu6, Jndiu6, Qndiu6, Xndiu6, Eodiu6, Lodiu6, Sodiu6, Zodiu6;
wire Gpdiu6, Npdiu6, Updiu6, Bqdiu6, Iqdiu6, Pqdiu6, Wqdiu6, Drdiu6, Krdiu6, Rrdiu6;
wire Yrdiu6, Fsdiu6, Msdiu6, Tsdiu6, Atdiu6, Htdiu6, Otdiu6, Vtdiu6, Cudiu6, Judiu6;
wire Qudiu6, Xudiu6, Evdiu6, Lvdiu6, Svdiu6, Zvdiu6, Gwdiu6, Nwdiu6, Uwdiu6, Bxdiu6;
wire Ixdiu6, Pxdiu6, Wxdiu6, Dydiu6, Kydiu6, Rydiu6, Yydiu6, Fzdiu6, Mzdiu6, Tzdiu6;
wire A0eiu6, H0eiu6, O0eiu6, V0eiu6, C1eiu6, J1eiu6, Q1eiu6, X1eiu6, E2eiu6, L2eiu6;
wire S2eiu6, Z2eiu6, G3eiu6, N3eiu6, U3eiu6, B4eiu6, I4eiu6, P4eiu6, W4eiu6, D5eiu6;
wire K5eiu6, R5eiu6, Y5eiu6, F6eiu6, M6eiu6, T6eiu6, A7eiu6, H7eiu6, O7eiu6, V7eiu6;
wire C8eiu6, J8eiu6, Q8eiu6, X8eiu6, E9eiu6, L9eiu6, S9eiu6, Z9eiu6, Gaeiu6, Naeiu6;
wire Uaeiu6, Bbeiu6, Ibeiu6, Pbeiu6, Wbeiu6, Dceiu6, Kceiu6, Rceiu6, Yceiu6, Fdeiu6;
wire Mdeiu6, Tdeiu6, Aeeiu6, Heeiu6, Oeeiu6, Veeiu6, Cfeiu6, Jfeiu6, Qfeiu6, Xfeiu6;
wire Egeiu6, Lgeiu6, Sgeiu6, Zgeiu6, Gheiu6, Nheiu6, Uheiu6, Bieiu6, Iieiu6, Pieiu6;
wire Wieiu6, Djeiu6, Kjeiu6, Rjeiu6, Yjeiu6, Fkeiu6, Mkeiu6, Tkeiu6, Aleiu6, Hleiu6;
wire Oleiu6, Vleiu6, Cmeiu6, Jmeiu6, Qmeiu6, Xmeiu6, Eneiu6, Lneiu6, Sneiu6, Zneiu6;
wire Goeiu6, Noeiu6, Uoeiu6, Bpeiu6, Ipeiu6, Ppeiu6, Wpeiu6, Dqeiu6, Kqeiu6, Rqeiu6;
wire Yqeiu6, Freiu6, Mreiu6, Treiu6, Aseiu6, Hseiu6, Oseiu6, Vseiu6, Cteiu6, Jteiu6;
wire Qteiu6, Xteiu6, Eueiu6, Lueiu6, Sueiu6, Zueiu6, Gveiu6, Nveiu6, Uveiu6, Bweiu6;
wire Iweiu6, Pweiu6, Wweiu6, Dxeiu6, Kxeiu6, Rxeiu6, Yxeiu6, Fyeiu6, Myeiu6, Tyeiu6;
wire Azeiu6, Hzeiu6, Ozeiu6, Vzeiu6, C0fiu6, J0fiu6, Q0fiu6, X0fiu6, E1fiu6, L1fiu6;
wire S1fiu6, Z1fiu6, G2fiu6, N2fiu6, U2fiu6, B3fiu6, I3fiu6, P3fiu6, W3fiu6, D4fiu6;
wire K4fiu6, R4fiu6, Y4fiu6, F5fiu6, M5fiu6, T5fiu6, A6fiu6, H6fiu6, O6fiu6, V6fiu6;
wire C7fiu6, J7fiu6, Q7fiu6, X7fiu6, E8fiu6, L8fiu6, S8fiu6, Z8fiu6, G9fiu6, N9fiu6;
wire U9fiu6, Bafiu6, Iafiu6, Pafiu6, Wafiu6, Dbfiu6, Kbfiu6, Rbfiu6, Ybfiu6, Fcfiu6;
wire Mcfiu6, Tcfiu6, Adfiu6, Hdfiu6, Odfiu6, Vdfiu6, Cefiu6, Jefiu6, Qefiu6, Xefiu6;
wire Effiu6, Lffiu6, Sffiu6, Zffiu6, Ggfiu6, Ngfiu6, Ugfiu6, Bhfiu6, Ihfiu6, Phfiu6;
wire Whfiu6, Difiu6, Kifiu6, Rifiu6, Yifiu6, Fjfiu6, Mjfiu6, Tjfiu6, Akfiu6, Hkfiu6;
wire Okfiu6, Vkfiu6, Clfiu6, Jlfiu6, Qlfiu6, Xlfiu6, Emfiu6, Lmfiu6, Smfiu6, Zmfiu6;
wire Gnfiu6, Nnfiu6, Unfiu6, Bofiu6, Iofiu6, Pofiu6, Wofiu6, Dpfiu6, Kpfiu6, Rpfiu6;
wire Ypfiu6, Fqfiu6, Mqfiu6, Tqfiu6, Arfiu6, Hrfiu6, Orfiu6, Vrfiu6, Csfiu6, Jsfiu6;
wire Qsfiu6, Xsfiu6, Etfiu6, Ltfiu6, Stfiu6, Ztfiu6, Gufiu6, Nufiu6, Uufiu6, Bvfiu6;
wire Ivfiu6, Pvfiu6, Wvfiu6, Dwfiu6, Kwfiu6, Rwfiu6, Ywfiu6, Fxfiu6, Mxfiu6, Txfiu6;
wire Ayfiu6, Hyfiu6, Oyfiu6, Vyfiu6, Czfiu6, Jzfiu6, Qzfiu6, Xzfiu6, E0giu6, L0giu6;
wire S0giu6, Z0giu6, G1giu6, N1giu6, U1giu6, B2giu6, I2giu6, P2giu6, W2giu6, D3giu6;
wire K3giu6, R3giu6, Y3giu6, F4giu6, M4giu6, T4giu6, A5giu6, H5giu6, O5giu6, V5giu6;
wire C6giu6, J6giu6, Q6giu6, X6giu6, E7giu6, L7giu6, S7giu6, Z7giu6, G8giu6, N8giu6;
wire U8giu6, B9giu6, I9giu6, P9giu6, W9giu6, Dagiu6, Kagiu6, Ragiu6, Yagiu6, Fbgiu6;
wire Mbgiu6, Tbgiu6, Acgiu6, Hcgiu6, Ocgiu6, Vcgiu6, Cdgiu6, Jdgiu6, Qdgiu6, Xdgiu6;
wire Eegiu6, Legiu6, Segiu6, Zegiu6, Gfgiu6, Nfgiu6, Ufgiu6, Bggiu6, Iggiu6, Pggiu6;
wire Wggiu6, Dhgiu6, Khgiu6, Rhgiu6, Yhgiu6, Figiu6, Migiu6, Tigiu6, Ajgiu6, Hjgiu6;
wire Ojgiu6, Vjgiu6, Ckgiu6, Jkgiu6, Qkgiu6, Xkgiu6, Elgiu6, Llgiu6, Slgiu6, Zlgiu6;
wire Gmgiu6, Nmgiu6, Umgiu6, Bngiu6, Ingiu6, Pngiu6, Wngiu6, Dogiu6, Kogiu6, Rogiu6;
wire Yogiu6, Fpgiu6, Mpgiu6, Tpgiu6, Aqgiu6, Hqgiu6, Oqgiu6, Vqgiu6, Crgiu6, Jrgiu6;
wire Qrgiu6, Xrgiu6, Esgiu6, Lsgiu6, Ssgiu6, Zsgiu6, Gtgiu6, Ntgiu6, Utgiu6, Bugiu6;
wire Iugiu6, Pugiu6, Wugiu6, Dvgiu6, Kvgiu6, Rvgiu6, Yvgiu6, Fwgiu6, Mwgiu6, Twgiu6;
wire Axgiu6, Hxgiu6, Oxgiu6, Vxgiu6, Cygiu6, Jygiu6, Qygiu6, Xygiu6, Ezgiu6, Lzgiu6;
wire Szgiu6, Zzgiu6, G0hiu6, N0hiu6, U0hiu6, B1hiu6, I1hiu6, P1hiu6, W1hiu6, D2hiu6;
wire K2hiu6, R2hiu6, Y2hiu6, F3hiu6, M3hiu6, T3hiu6, A4hiu6, H4hiu6, O4hiu6, V4hiu6;
wire C5hiu6, J5hiu6, Q5hiu6, X5hiu6, E6hiu6, L6hiu6, S6hiu6, Z6hiu6, G7hiu6, N7hiu6;
wire U7hiu6, B8hiu6, I8hiu6, P8hiu6, W8hiu6, D9hiu6, K9hiu6, R9hiu6, Y9hiu6, Fahiu6;
wire Mahiu6, Tahiu6, Abhiu6, Hbhiu6, Obhiu6, Vbhiu6, Cchiu6, Jchiu6, Qchiu6, Xchiu6;
wire Edhiu6, Ldhiu6, Sdhiu6, Zdhiu6, Gehiu6, Nehiu6, Uehiu6, Bfhiu6, Ifhiu6, Pfhiu6;
wire Wfhiu6, Dghiu6, Kghiu6, Rghiu6, Yghiu6, Fhhiu6, Mhhiu6, Thhiu6, Aihiu6, Hihiu6;
wire Oihiu6, Vihiu6, Cjhiu6, Jjhiu6, Qjhiu6, Xjhiu6, Ekhiu6, Lkhiu6, Skhiu6, Zkhiu6;
wire Glhiu6, Nlhiu6, Ulhiu6, Bmhiu6, Imhiu6, Pmhiu6, Wmhiu6, Dnhiu6, Knhiu6, Rnhiu6;
wire Ynhiu6, Fohiu6, Mohiu6, Tohiu6, Aphiu6, Hphiu6, Ophiu6, Vphiu6, Cqhiu6, Jqhiu6;
wire Qqhiu6, Xqhiu6, Erhiu6, Lrhiu6, Srhiu6, Zrhiu6, Gshiu6, Nshiu6, Ushiu6, Bthiu6;
wire Ithiu6, Pthiu6, Wthiu6, Duhiu6, Kuhiu6, Ruhiu6, Yuhiu6, Fvhiu6, Mvhiu6, Tvhiu6;
wire Awhiu6, Hwhiu6, Owhiu6, Vwhiu6, Cxhiu6, Jxhiu6, Qxhiu6, Xxhiu6, Eyhiu6, Lyhiu6;
wire Syhiu6, Zyhiu6, Gzhiu6, Nzhiu6, Uzhiu6, B0iiu6, I0iiu6, P0iiu6, W0iiu6, D1iiu6;
wire K1iiu6, R1iiu6, Y1iiu6, F2iiu6, M2iiu6, T2iiu6, A3iiu6, H3iiu6, O3iiu6, V3iiu6;
wire C4iiu6, J4iiu6, Q4iiu6, X4iiu6, E5iiu6, L5iiu6, S5iiu6, Z5iiu6, G6iiu6, N6iiu6;
wire U6iiu6, B7iiu6, I7iiu6, P7iiu6, W7iiu6, D8iiu6, K8iiu6, R8iiu6, Y8iiu6, F9iiu6;
wire M9iiu6, T9iiu6, Aaiiu6, Haiiu6, Oaiiu6, Vaiiu6, Cbiiu6, Jbiiu6, Qbiiu6, Xbiiu6;
wire Eciiu6, Lciiu6, Sciiu6, Zciiu6, Gdiiu6, Ndiiu6, Udiiu6, Beiiu6, Ieiiu6, Peiiu6;
wire Weiiu6, Dfiiu6, Kfiiu6, Rfiiu6, Yfiiu6, Fgiiu6, Mgiiu6, Tgiiu6, Ahiiu6, Hhiiu6;
wire Ohiiu6, Vhiiu6, Ciiiu6, Jiiiu6, Qiiiu6, Xiiiu6, Ejiiu6, Ljiiu6, Sjiiu6, Zjiiu6;
wire Gkiiu6, Nkiiu6, Ukiiu6, Bliiu6, Iliiu6, Pliiu6, Wliiu6, Dmiiu6, Kmiiu6, Rmiiu6;
wire Ymiiu6, Fniiu6, Mniiu6, Tniiu6, Aoiiu6, Hoiiu6, Ooiiu6, Voiiu6, Cpiiu6, Jpiiu6;
wire Qpiiu6, Xpiiu6, Eqiiu6, Lqiiu6, Sqiiu6, Zqiiu6, Griiu6, Nriiu6, Uriiu6, Bsiiu6;
wire Isiiu6, Psiiu6, Wsiiu6, Dtiiu6, Ktiiu6, Rtiiu6, Ytiiu6, Fuiiu6, Muiiu6, Tuiiu6;
wire Aviiu6, Hviiu6, Oviiu6, Vviiu6, Cwiiu6, Jwiiu6, Qwiiu6, Xwiiu6, Exiiu6, Lxiiu6;
wire Sxiiu6, Zxiiu6, Gyiiu6, Nyiiu6, Uyiiu6, Bziiu6, Iziiu6, Pziiu6, Wziiu6, D0jiu6;
wire K0jiu6, R0jiu6, Y0jiu6, F1jiu6, M1jiu6, T1jiu6, A2jiu6, H2jiu6, O2jiu6, V2jiu6;
wire C3jiu6, J3jiu6, Q3jiu6, X3jiu6, E4jiu6, L4jiu6, S4jiu6, Z4jiu6, G5jiu6, N5jiu6;
wire U5jiu6, B6jiu6, I6jiu6, P6jiu6, W6jiu6, D7jiu6, K7jiu6, R7jiu6, Y7jiu6, F8jiu6;
wire M8jiu6, T8jiu6, A9jiu6, H9jiu6, O9jiu6, V9jiu6, Cajiu6, Jajiu6, Qajiu6, Xajiu6;
wire Ebjiu6, Lbjiu6, Sbjiu6, Zbjiu6, Gcjiu6, Ncjiu6, Ucjiu6, Bdjiu6, Idjiu6, Pdjiu6;
wire Wdjiu6, Dejiu6, Kejiu6, Rejiu6, Yejiu6, Ffjiu6, Mfjiu6, Tfjiu6, Agjiu6, Hgjiu6;
wire Ogjiu6, Vgjiu6, Chjiu6, Jhjiu6, Qhjiu6, Xhjiu6, Eijiu6, Lijiu6, Sijiu6, Zijiu6;
wire Gjjiu6, Njjiu6, Ujjiu6, Bkjiu6, Ikjiu6, Pkjiu6, Wkjiu6, Dljiu6, Kljiu6, Rljiu6;
wire Yljiu6, Fmjiu6, Mmjiu6, Tmjiu6, Anjiu6, Hnjiu6, Onjiu6, Vnjiu6, Cojiu6, Jojiu6;
wire Qojiu6, Xojiu6, Epjiu6, Lpjiu6, Spjiu6, Zpjiu6, Gqjiu6, Nqjiu6, Uqjiu6, Brjiu6;
wire Irjiu6, Prjiu6, Wrjiu6, Dsjiu6, Ksjiu6, Rsjiu6, Ysjiu6, Ftjiu6, Mtjiu6, Ttjiu6;
wire Aujiu6, Hujiu6, Oujiu6, Vujiu6, Cvjiu6, Jvjiu6, Qvjiu6, Xvjiu6, Ewjiu6, Lwjiu6;
wire Swjiu6, Zwjiu6, Gxjiu6, Nxjiu6, Uxjiu6, Byjiu6, Iyjiu6, Pyjiu6, Wyjiu6, Dzjiu6;
wire Kzjiu6, Rzjiu6, Yzjiu6, F0kiu6, M0kiu6, T0kiu6, A1kiu6, H1kiu6, O1kiu6, V1kiu6;
wire C2kiu6, J2kiu6, Q2kiu6, X2kiu6, E3kiu6, L3kiu6, S3kiu6, Z3kiu6, G4kiu6, N4kiu6;
wire U4kiu6, B5kiu6, I5kiu6, P5kiu6, W5kiu6, D6kiu6, K6kiu6, R6kiu6, Y6kiu6, F7kiu6;
wire M7kiu6, T7kiu6, A8kiu6, H8kiu6, O8kiu6, V8kiu6, C9kiu6, J9kiu6, Q9kiu6, X9kiu6;
wire Eakiu6, Lakiu6, Sakiu6, Zakiu6, Gbkiu6, Nbkiu6, Ubkiu6, Bckiu6, Ickiu6, Pckiu6;
wire Wckiu6, Ddkiu6, Kdkiu6, Rdkiu6, Ydkiu6, Fekiu6, Mekiu6, Tekiu6, Afkiu6, Hfkiu6;
wire Ofkiu6, Vfkiu6, Cgkiu6, Jgkiu6, Qgkiu6, Xgkiu6, Ehkiu6, Lhkiu6, Shkiu6, Zhkiu6;
wire Gikiu6, Nikiu6, Uikiu6, Bjkiu6, Ijkiu6, Pjkiu6, Wjkiu6, Dkkiu6, Kkkiu6, Rkkiu6;
wire Ykkiu6, Flkiu6, Mlkiu6, Tlkiu6, Amkiu6, Hmkiu6, Omkiu6, Vmkiu6, Cnkiu6, Jnkiu6;
wire Qnkiu6, Xnkiu6, Eokiu6, Lokiu6, Sokiu6, Zokiu6, Gpkiu6, Npkiu6, Upkiu6, Bqkiu6;
wire Iqkiu6, Pqkiu6, Wqkiu6, Drkiu6, Krkiu6, Rrkiu6, Yrkiu6, Fskiu6, Mskiu6, Tskiu6;
wire Atkiu6, Htkiu6, Otkiu6, Vtkiu6, Cukiu6, Jukiu6, Qukiu6, Xukiu6, Evkiu6, Lvkiu6;
wire Svkiu6, Zvkiu6, Gwkiu6, Nwkiu6, Uwkiu6, Bxkiu6, Ixkiu6, Pxkiu6, Wxkiu6, Dykiu6;
wire Kykiu6, Rykiu6, Yykiu6, Fzkiu6, Mzkiu6, Tzkiu6, A0liu6, H0liu6, O0liu6, V0liu6;
wire C1liu6, J1liu6, Q1liu6, X1liu6, E2liu6, L2liu6, S2liu6, Z2liu6, G3liu6, N3liu6;
wire U3liu6, B4liu6, I4liu6, P4liu6, W4liu6, D5liu6, K5liu6, R5liu6, Y5liu6, F6liu6;
wire M6liu6, T6liu6, A7liu6, H7liu6, O7liu6, V7liu6, C8liu6, J8liu6, Q8liu6, X8liu6;
wire E9liu6, L9liu6, S9liu6, Z9liu6, Galiu6, Naliu6, Ualiu6, Bbliu6, Ibliu6, Pbliu6;
wire Wbliu6, Dcliu6, Kcliu6, Rcliu6, Ycliu6, Fdliu6, Mdliu6, Tdliu6, Aeliu6, Heliu6;
wire Oeliu6, Veliu6, Cfliu6, Jfliu6, Qfliu6, Xfliu6, Egliu6, Lgliu6, Sgliu6, Zgliu6;
wire Ghliu6, Nhliu6, Uhliu6, Biliu6, Iiliu6, Piliu6, Wiliu6, Djliu6, Kjliu6, Rjliu6;
wire Yjliu6, Fkliu6, Mkliu6, Tkliu6, Alliu6, Hlliu6, Olliu6, Vlliu6, Cmliu6, Jmliu6;
wire Qmliu6, Xmliu6, Enliu6, Lnliu6, Snliu6, Znliu6, Goliu6, Noliu6, Uoliu6, Bpliu6;
wire Ipliu6, Ppliu6, Wpliu6, Dqliu6, Kqliu6, Rqliu6, Yqliu6, Frliu6, Mrliu6, Trliu6;
wire Asliu6, Hsliu6, Osliu6, Vsliu6, Ctliu6, Jtliu6, Qtliu6, Xtliu6, Euliu6, Luliu6;
wire Suliu6, Zuliu6, Gvliu6, Nvliu6, Uvliu6, Bwliu6, Iwliu6, Pwliu6, Wwliu6, Dxliu6;
wire Kxliu6, Rxliu6, Yxliu6, Fyliu6, Myliu6, Tyliu6, Azliu6, Hzliu6, Ozliu6, Vzliu6;
wire C0miu6, J0miu6, Q0miu6, X0miu6, E1miu6, L1miu6, S1miu6, Z1miu6, G2miu6, N2miu6;
wire U2miu6, B3miu6, I3miu6, P3miu6, W3miu6, D4miu6, K4miu6, R4miu6, Y4miu6, F5miu6;
wire M5miu6, T5miu6, A6miu6, H6miu6, O6miu6, V6miu6, C7miu6, J7miu6, Q7miu6, X7miu6;
wire E8miu6, L8miu6, S8miu6, Z8miu6, G9miu6, N9miu6, U9miu6, Bamiu6, Iamiu6, Pamiu6;
wire Wamiu6, Dbmiu6, Kbmiu6, Rbmiu6, Ybmiu6, Fcmiu6, Mcmiu6, Tcmiu6, Admiu6, Hdmiu6;
wire Odmiu6, Vdmiu6, Cemiu6, Jemiu6, Qemiu6, Xemiu6, Efmiu6, Lfmiu6, Sfmiu6, Zfmiu6;
wire Ggmiu6, Ngmiu6, Ugmiu6, Bhmiu6, Ihmiu6, Phmiu6, Whmiu6, Dimiu6, Kimiu6, Rimiu6;
wire Yimiu6, Fjmiu6, Mjmiu6, Tjmiu6, Akmiu6, Hkmiu6, Okmiu6, Vkmiu6, Clmiu6, Jlmiu6;
wire Qlmiu6, Xlmiu6, Emmiu6, Lmmiu6, Smmiu6, Zmmiu6, Gnmiu6, Nnmiu6, Unmiu6, Bomiu6;
wire Iomiu6, Pomiu6, Womiu6, Dpmiu6, Kpmiu6, Rpmiu6, Ypmiu6, Fqmiu6, Mqmiu6, Tqmiu6;
wire Armiu6, Hrmiu6, Ormiu6, Vrmiu6, Csmiu6, Jsmiu6, Qsmiu6, Xsmiu6, Etmiu6, Ltmiu6;
wire Stmiu6, Ztmiu6, Gumiu6, Numiu6, Uumiu6, Bvmiu6, Ivmiu6, Pvmiu6, Wvmiu6, Dwmiu6;
wire Kwmiu6, Rwmiu6, Ywmiu6, Fxmiu6, Mxmiu6, Txmiu6, Aymiu6, Hymiu6, Oymiu6, Vymiu6;
wire Czmiu6, Jzmiu6, Qzmiu6, Xzmiu6, E0niu6, L0niu6, S0niu6, Z0niu6, G1niu6, N1niu6;
wire U1niu6, B2niu6, I2niu6, P2niu6, W2niu6, D3niu6, K3niu6, R3niu6, Y3niu6, F4niu6;
wire M4niu6, T4niu6, A5niu6, H5niu6, O5niu6, V5niu6, C6niu6, J6niu6, Q6niu6, X6niu6;
wire E7niu6, L7niu6, S7niu6, Z7niu6, G8niu6, N8niu6, U8niu6, B9niu6, I9niu6, P9niu6;
wire W9niu6, Daniu6, Kaniu6, Raniu6, Yaniu6, Fbniu6, Mbniu6, Tbniu6, Acniu6, Hcniu6;
wire Ocniu6, Vcniu6, Cdniu6, Jdniu6, Qdniu6, Xdniu6, Eeniu6, Leniu6, Seniu6, Zeniu6;
wire Gfniu6, Nfniu6, Ufniu6, Bgniu6, Igniu6, Pgniu6, Wgniu6, Dhniu6, Khniu6, Rhniu6;
wire Yhniu6, Finiu6, Miniu6, Tiniu6, Ajniu6, Hjniu6, Ojniu6, Vjniu6, Ckniu6, Jkniu6;
wire Qkniu6, Xkniu6, Elniu6, Llniu6, Slniu6, Zlniu6, Gmniu6, Nmniu6, Umniu6, Bnniu6;
wire Inniu6, Pnniu6, Wnniu6, Doniu6, Koniu6, Roniu6, Yoniu6, Fpniu6, Mpniu6, Tpniu6;
wire Aqniu6, Hqniu6, Oqniu6, Vqniu6, Crniu6, Jrniu6, Qrniu6, Xrniu6, Esniu6, Lsniu6;
wire Ssniu6, Zsniu6, Gtniu6, Ntniu6, Utniu6, Buniu6, Iuniu6, Puniu6, Wuniu6, Dvniu6;
wire Kvniu6, Rvniu6, Yvniu6, Fwniu6, Mwniu6, Twniu6, Axniu6, Hxniu6, Oxniu6, Vxniu6;
wire Cyniu6, Jyniu6, Qyniu6, Xyniu6, Ezniu6, Lzniu6, Szniu6, Zzniu6, G0oiu6, N0oiu6;
wire U0oiu6, B1oiu6, I1oiu6, P1oiu6, W1oiu6, D2oiu6, K2oiu6, R2oiu6, Y2oiu6, F3oiu6;
wire M3oiu6, T3oiu6, A4oiu6, H4oiu6, O4oiu6, V4oiu6, C5oiu6, J5oiu6, Q5oiu6, X5oiu6;
wire E6oiu6, L6oiu6, S6oiu6, Z6oiu6, G7oiu6, N7oiu6, U7oiu6, B8oiu6, I8oiu6, P8oiu6;
wire W8oiu6, D9oiu6, K9oiu6, R9oiu6, Y9oiu6, Faoiu6, Maoiu6, Taoiu6, Aboiu6, Hboiu6;
wire Oboiu6, Vboiu6, Ccoiu6, Jcoiu6, Qcoiu6, Xcoiu6, Edoiu6, Ldoiu6, Sdoiu6, Zdoiu6;
wire Geoiu6, Neoiu6, Ueoiu6, Bfoiu6, Ifoiu6, Pfoiu6, Wfoiu6, Dgoiu6, Kgoiu6, Rgoiu6;
wire Ygoiu6, Fhoiu6, Mhoiu6, Thoiu6, Aioiu6, Hioiu6, Oioiu6, Vioiu6, Cjoiu6, Jjoiu6;
wire Qjoiu6, Xjoiu6, Ekoiu6, Lkoiu6, Skoiu6, Zkoiu6, Gloiu6, Nloiu6, Uloiu6, Bmoiu6;
wire Imoiu6, Pmoiu6, Wmoiu6, Dnoiu6, Knoiu6, Rnoiu6, Ynoiu6, Fooiu6, Mooiu6, Tooiu6;
wire Apoiu6, Hpoiu6, Opoiu6, Vpoiu6, Cqoiu6, Jqoiu6, Qqoiu6, Xqoiu6, Eroiu6, Lroiu6;
wire Sroiu6, Zroiu6, Gsoiu6, Nsoiu6, Usoiu6, Btoiu6, Itoiu6, Ptoiu6, Wtoiu6, Duoiu6;
wire Kuoiu6, Ruoiu6, Yuoiu6, Fvoiu6, Mvoiu6, Tvoiu6, Awoiu6, Hwoiu6, Owoiu6, Vwoiu6;
wire Cxoiu6, Jxoiu6, Qxoiu6, Xxoiu6, Eyoiu6, Lyoiu6, Syoiu6, Zyoiu6, Gzoiu6, Nzoiu6;
wire Uzoiu6, B0piu6, I0piu6, P0piu6, W0piu6, D1piu6, K1piu6, R1piu6, Y1piu6, F2piu6;
wire M2piu6, T2piu6, A3piu6, H3piu6, O3piu6, V3piu6, C4piu6, J4piu6, Q4piu6, X4piu6;
wire E5piu6, L5piu6, S5piu6, Z5piu6, G6piu6, N6piu6, U6piu6, B7piu6, I7piu6, P7piu6;
wire W7piu6, D8piu6, K8piu6, R8piu6, Y8piu6, F9piu6, M9piu6, T9piu6, Aapiu6, Hapiu6;
wire Oapiu6, Vapiu6, Cbpiu6, Jbpiu6, Qbpiu6, Xbpiu6, Ecpiu6, Lcpiu6, Scpiu6, Zcpiu6;
wire Gdpiu6, Ndpiu6, Udpiu6, Bepiu6, Iepiu6, Pepiu6, Wepiu6, Dfpiu6, Kfpiu6, Rfpiu6;
wire Yfpiu6, Fgpiu6, Mgpiu6, Tgpiu6, Ahpiu6, Hhpiu6, Ohpiu6, Vhpiu6, Cipiu6, Jipiu6;
wire Qipiu6, Xipiu6, Ejpiu6, Ljpiu6, Sjpiu6, Zjpiu6, Gkpiu6, Nkpiu6, Ukpiu6, Blpiu6;
wire Ilpiu6, Plpiu6, Wlpiu6, Dmpiu6, Kmpiu6, Rmpiu6, Ympiu6, Fnpiu6, Mnpiu6, Tnpiu6;
wire Aopiu6, Hopiu6, Oopiu6, Vopiu6, Cppiu6, Jppiu6, Qppiu6, Xppiu6, Eqpiu6, Lqpiu6;
wire Sqpiu6, Zqpiu6, Grpiu6, Nrpiu6, Urpiu6, Bspiu6, Ispiu6, Pspiu6, Wspiu6, Dtpiu6;
wire Ktpiu6, Rtpiu6, Ytpiu6, Fupiu6, Mupiu6, Tupiu6, Avpiu6, Hvpiu6, Ovpiu6, Vvpiu6;
wire Cwpiu6, Jwpiu6, Qwpiu6, Xwpiu6, Expiu6, Lxpiu6, Sxpiu6, Zxpiu6, Gypiu6, Nypiu6;
wire Uypiu6, Bzpiu6, Izpiu6, Pzpiu6, Wzpiu6, D0qiu6, K0qiu6, R0qiu6, Y0qiu6, F1qiu6;
wire M1qiu6, T1qiu6, A2qiu6, H2qiu6, O2qiu6, V2qiu6, C3qiu6, J3qiu6, Q3qiu6, X3qiu6;
wire E4qiu6, L4qiu6, S4qiu6, Z4qiu6, G5qiu6, N5qiu6, U5qiu6, B6qiu6, I6qiu6, P6qiu6;
wire W6qiu6, D7qiu6, K7qiu6, R7qiu6, Y7qiu6, F8qiu6, M8qiu6, T8qiu6, A9qiu6, H9qiu6;
wire O9qiu6, V9qiu6, Caqiu6, Jaqiu6, Qaqiu6, Xaqiu6, Ebqiu6, Lbqiu6, Sbqiu6, Zbqiu6;
wire Gcqiu6, Ncqiu6, Ucqiu6, Bdqiu6, Idqiu6, Pdqiu6, Wdqiu6, Deqiu6, Keqiu6, Reqiu6;
wire Yeqiu6, Ffqiu6, Mfqiu6, Tfqiu6, Agqiu6, Hgqiu6, Ogqiu6, Vgqiu6, Chqiu6, Jhqiu6;
wire Qhqiu6, Xhqiu6, Eiqiu6, Liqiu6, Siqiu6, Ziqiu6, Gjqiu6, Njqiu6, Ujqiu6, Bkqiu6;
wire Ikqiu6, Pkqiu6, Wkqiu6, Dlqiu6, Klqiu6, Rlqiu6, Ylqiu6, Fmqiu6, Mmqiu6, Tmqiu6;
wire Anqiu6, Hnqiu6, Onqiu6, Vnqiu6, Coqiu6, Joqiu6, Qoqiu6, Xoqiu6, Epqiu6, Lpqiu6;
wire Spqiu6, Zpqiu6, Gqqiu6, Nqqiu6, Uqqiu6, Brqiu6, Irqiu6, Prqiu6, Wrqiu6, Dsqiu6;
wire Ksqiu6, Rsqiu6, Ysqiu6, Ftqiu6, Mtqiu6, Ttqiu6, Auqiu6, Huqiu6, Ouqiu6, Vuqiu6;
wire Cvqiu6, Jvqiu6, Qvqiu6, Xvqiu6, Ewqiu6, Lwqiu6, Swqiu6, Zwqiu6, Gxqiu6, Nxqiu6;
wire Uxqiu6, Byqiu6, Iyqiu6, Pyqiu6, Wyqiu6, Dzqiu6, Kzqiu6, Rzqiu6, Yzqiu6, F0riu6;
wire M0riu6, T0riu6, A1riu6, H1riu6, O1riu6, V1riu6, C2riu6, J2riu6, Q2riu6, X2riu6;
wire E3riu6, L3riu6, S3riu6, Z3riu6, G4riu6, N4riu6, U4riu6, B5riu6, I5riu6, P5riu6;
wire W5riu6, D6riu6, K6riu6, R6riu6, Y6riu6, F7riu6, M7riu6, T7riu6, A8riu6, H8riu6;
wire O8riu6, V8riu6, C9riu6, J9riu6, Q9riu6, X9riu6, Eariu6, Lariu6, Sariu6, Zariu6;
wire Gbriu6, Nbriu6, Ubriu6, Bcriu6, Icriu6, Pcriu6, Wcriu6, Ddriu6, Kdriu6, Rdriu6;
wire Ydriu6, Feriu6, Meriu6, Teriu6, Afriu6, Hfriu6, Ofriu6, Vfriu6, Cgriu6, Jgriu6;
wire Qgriu6, Xgriu6, Ehriu6, Lhriu6, Shriu6, Zhriu6, Giriu6, Niriu6, Uiriu6, Bjriu6;
wire Ijriu6, Pjriu6, Wjriu6, Dkriu6, Kkriu6, Rkriu6, Ykriu6, Flriu6, Mlriu6, Tlriu6;
wire Amriu6, Hmriu6, Omriu6, Vmriu6, Cnriu6, Jnriu6, Qnriu6, Xnriu6, Eoriu6, Loriu6;
wire Soriu6, Zoriu6, Gpriu6, Npriu6, Upriu6, Bqriu6, Iqriu6, Pqriu6, Wqriu6, Drriu6;
wire Krriu6, Rrriu6, Yrriu6, Fsriu6, Msriu6, Tsriu6, Atriu6, Htriu6, Otriu6, Vtriu6;
wire Curiu6, Juriu6, Quriu6, Xuriu6, Evriu6, Lvriu6, Svriu6, Zvriu6, Gwriu6, Nwriu6;
wire Uwriu6, Bxriu6, Ixriu6, Pxriu6, Wxriu6, Dyriu6, Kyriu6, Ryriu6, Yyriu6, Fzriu6;
wire Mzriu6, Tzriu6, A0siu6, H0siu6, O0siu6, V0siu6, C1siu6, J1siu6, Q1siu6, X1siu6;
wire E2siu6, L2siu6, S2siu6, Z2siu6, G3siu6, N3siu6, U3siu6, B4siu6, I4siu6, P4siu6;
wire W4siu6, D5siu6, K5siu6, R5siu6, Y5siu6, F6siu6, M6siu6, T6siu6, A7siu6, H7siu6;
wire O7siu6, V7siu6, C8siu6, J8siu6, Q8siu6, X8siu6, E9siu6, L9siu6, S9siu6, Z9siu6;
wire Gasiu6, Nasiu6, Uasiu6, Bbsiu6, Ibsiu6, Pbsiu6, Wbsiu6, Dcsiu6, Kcsiu6, Rcsiu6;
wire Ycsiu6, Fdsiu6, Mdsiu6, Tdsiu6, Aesiu6, Hesiu6, Oesiu6, Vesiu6, Cfsiu6, Jfsiu6;
wire Qfsiu6, Xfsiu6, Egsiu6, Lgsiu6, Sgsiu6, Zgsiu6, Ghsiu6, Nhsiu6, Uhsiu6, Bisiu6;
wire Iisiu6, Pisiu6, Wisiu6, Djsiu6, Kjsiu6, Rjsiu6, Yjsiu6, Fksiu6, Mksiu6, Tksiu6;
wire Alsiu6, Hlsiu6, Olsiu6, Vlsiu6, Cmsiu6, Jmsiu6, Qmsiu6, Xmsiu6, Ensiu6, Lnsiu6;
wire Snsiu6, Znsiu6, Gosiu6, Nosiu6, Uosiu6, Bpsiu6, Ipsiu6, Ppsiu6, Wpsiu6, Dqsiu6;
wire Kqsiu6, Rqsiu6, Yqsiu6, Frsiu6, Mrsiu6, Trsiu6, Assiu6, Hssiu6, Ossiu6, Vssiu6;
wire Ctsiu6, Jtsiu6, Qtsiu6, Xtsiu6, Eusiu6, Lusiu6, Susiu6, Zusiu6, Gvsiu6, Nvsiu6;
wire Uvsiu6, Bwsiu6, Iwsiu6, Pwsiu6, Wwsiu6, Dxsiu6, Kxsiu6, Rxsiu6, Yxsiu6, Fysiu6;
wire Mysiu6, Tysiu6, Azsiu6, Hzsiu6, Ozsiu6, Vzsiu6, C0tiu6, J0tiu6, Q0tiu6, X0tiu6;
wire E1tiu6, L1tiu6, S1tiu6, Z1tiu6, G2tiu6, N2tiu6, U2tiu6, B3tiu6, I3tiu6, P3tiu6;
wire W3tiu6, D4tiu6, K4tiu6, R4tiu6, Y4tiu6, F5tiu6, M5tiu6, T5tiu6, A6tiu6, H6tiu6;
wire O6tiu6, V6tiu6, C7tiu6, J7tiu6, Q7tiu6, X7tiu6, E8tiu6, L8tiu6, S8tiu6, Z8tiu6;
wire G9tiu6, N9tiu6, U9tiu6, Batiu6, Iatiu6, Patiu6, Watiu6, Dbtiu6, Kbtiu6, Rbtiu6;
wire Ybtiu6, Fctiu6, Mctiu6, Tctiu6, Adtiu6, Hdtiu6, Odtiu6, Vdtiu6, Cetiu6, Jetiu6;
wire Qetiu6, Xetiu6, Eftiu6, Lftiu6, Sftiu6, Zftiu6, Ggtiu6, Ngtiu6, Ugtiu6, Bhtiu6;
wire Ihtiu6, Phtiu6, Whtiu6, Ditiu6, Kitiu6, Ritiu6, Yitiu6, Fjtiu6, Mjtiu6, Tjtiu6;
wire Aktiu6, Hktiu6, Oktiu6, Vktiu6, Cltiu6, Jltiu6, Qltiu6, Xltiu6, Emtiu6, Lmtiu6;
wire Smtiu6, Zmtiu6, Gntiu6, Nntiu6, Untiu6, Botiu6, Iotiu6, Potiu6, Wotiu6, Dptiu6;
wire Kptiu6, Rptiu6, Yptiu6, Fqtiu6, Mqtiu6, Tqtiu6, Artiu6, Hrtiu6, Ortiu6, Vrtiu6;
wire Cstiu6, Jstiu6, Qstiu6, Xstiu6, Ettiu6, Lttiu6, Sttiu6, Zttiu6, Gutiu6, Nutiu6;
wire Uutiu6, Bvtiu6, Ivtiu6, Pvtiu6, Wvtiu6, Dwtiu6, Kwtiu6, Rwtiu6, Ywtiu6, Fxtiu6;
wire Mxtiu6, Txtiu6, Aytiu6, Hytiu6, Oytiu6, Vytiu6, Cztiu6, Jztiu6, Qztiu6, Xztiu6;
wire E0uiu6, L0uiu6, S0uiu6, Z0uiu6, G1uiu6, N1uiu6, U1uiu6, B2uiu6, I2uiu6, P2uiu6;
wire W2uiu6, D3uiu6, K3uiu6, R3uiu6, Y3uiu6, F4uiu6, M4uiu6, T4uiu6, A5uiu6, H5uiu6;
wire O5uiu6, V5uiu6, C6uiu6, J6uiu6, Q6uiu6, X6uiu6, E7uiu6, L7uiu6, S7uiu6, Z7uiu6;
wire G8uiu6, N8uiu6, U8uiu6, B9uiu6, I9uiu6, P9uiu6, W9uiu6, Dauiu6, Kauiu6, Rauiu6;
wire Yauiu6, Fbuiu6, Mbuiu6, Tbuiu6, Acuiu6, Hcuiu6, Ocuiu6, Vcuiu6, Cduiu6, Jduiu6;
wire Qduiu6, Xduiu6, Eeuiu6, Leuiu6, Seuiu6, Zeuiu6, Gfuiu6, Nfuiu6, Ufuiu6, Bguiu6;
wire Iguiu6, Pguiu6, Wguiu6, Dhuiu6, Khuiu6, Rhuiu6, Yhuiu6, Fiuiu6, Miuiu6, Tiuiu6;
wire Ajuiu6, Hjuiu6, Ojuiu6, Vjuiu6, Ckuiu6, Jkuiu6, Qkuiu6, Xkuiu6, Eluiu6, Lluiu6;
wire Sluiu6, Zluiu6, Gmuiu6, Nmuiu6, Umuiu6, Bnuiu6, Inuiu6, Pnuiu6, Wnuiu6, Douiu6;
wire Kouiu6, Rouiu6, Youiu6, Fpuiu6, Mpuiu6, Tpuiu6, Aquiu6, Hquiu6, Oquiu6, Vquiu6;
wire Cruiu6, Jruiu6, Qruiu6, Xruiu6, Esuiu6, Lsuiu6, Ssuiu6, Zsuiu6, Gtuiu6, Ntuiu6;
wire Utuiu6, Buuiu6, Iuuiu6, Puuiu6, Wuuiu6, Dvuiu6, Kvuiu6, Rvuiu6, Yvuiu6, Fwuiu6;
wire Mwuiu6, Twuiu6, Axuiu6, Hxuiu6, Oxuiu6, Vxuiu6, Cyuiu6, Jyuiu6, Qyuiu6, Xyuiu6;
wire Ezuiu6, Lzuiu6, Szuiu6, Zzuiu6, G0viu6, N0viu6, U0viu6, B1viu6, I1viu6, P1viu6;
wire W1viu6, D2viu6, K2viu6, R2viu6, Y2viu6, F3viu6, M3viu6, T3viu6, A4viu6, H4viu6;
wire O4viu6, V4viu6, C5viu6, J5viu6, Q5viu6, X5viu6, E6viu6, L6viu6, S6viu6, Z6viu6;
wire G7viu6, N7viu6, U7viu6, B8viu6, I8viu6, P8viu6, W8viu6, D9viu6, K9viu6, R9viu6;
wire Y9viu6, Faviu6, Maviu6, Taviu6, Abviu6, Hbviu6, Obviu6, Vbviu6, Ccviu6, Jcviu6;
wire Qcviu6, Xcviu6, Edviu6, Ldviu6, Sdviu6, Zdviu6, Geviu6, Neviu6, Ueviu6, Bfviu6;
wire Ifviu6, Pfviu6, Wfviu6, Dgviu6, Kgviu6, Rgviu6, Ygviu6, Fhviu6, Mhviu6, Thviu6;
wire Aiviu6, Hiviu6, Oiviu6, Viviu6, Cjviu6, Jjviu6, Qjviu6, Xjviu6, Ekviu6, Lkviu6;
wire Skviu6, Zkviu6, Glviu6, Nlviu6, Ulviu6, Bmviu6, Imviu6, Pmviu6, Wmviu6, Dnviu6;
wire Knviu6, Rnviu6, Ynviu6, Foviu6, Moviu6, Toviu6, Apviu6, Hpviu6, Opviu6, Vpviu6;
wire Cqviu6, Jqviu6, Qqviu6, Xqviu6, Erviu6, Lrviu6, Srviu6, Zrviu6, Gsviu6, Nsviu6;
wire Usviu6, Btviu6, Itviu6, Ptviu6, Wtviu6, Duviu6, Kuviu6, Ruviu6, Yuviu6, Fvviu6;
wire Mvviu6, Tvviu6, Awviu6, Hwviu6, Owviu6, Vwviu6, Cxviu6, Jxviu6, Qxviu6, Xxviu6;
wire Eyviu6, Lyviu6, Syviu6, Zyviu6, Gzviu6, Nzviu6, Uzviu6, B0wiu6, I0wiu6, P0wiu6;
wire W0wiu6, D1wiu6, K1wiu6, R1wiu6, Y1wiu6, F2wiu6, M2wiu6, T2wiu6, A3wiu6, H3wiu6;
wire O3wiu6, V3wiu6, C4wiu6, J4wiu6, Q4wiu6, X4wiu6, E5wiu6, L5wiu6, S5wiu6, Z5wiu6;
wire G6wiu6, N6wiu6, U6wiu6, B7wiu6, I7wiu6, P7wiu6, W7wiu6, D8wiu6, K8wiu6, R8wiu6;
wire Y8wiu6, F9wiu6, M9wiu6, T9wiu6, Aawiu6, Hawiu6, Oawiu6, Vawiu6, Cbwiu6, Jbwiu6;
wire Qbwiu6, Xbwiu6, Ecwiu6, Lcwiu6, Scwiu6, Zcwiu6, Gdwiu6, Ndwiu6, Udwiu6, Bewiu6;
wire Iewiu6, Pewiu6, Wewiu6, Dfwiu6, Kfwiu6, Rfwiu6, Yfwiu6, Fgwiu6, Mgwiu6, Tgwiu6;
wire Ahwiu6, Hhwiu6, Ohwiu6, Vhwiu6, Ciwiu6, Jiwiu6, Qiwiu6, Xiwiu6, Ejwiu6, Ljwiu6;
wire Sjwiu6, Zjwiu6, Gkwiu6, Nkwiu6, Ukwiu6, Blwiu6, Ilwiu6, Plwiu6, Wlwiu6, Dmwiu6;
wire Kmwiu6, Rmwiu6, Ymwiu6, Fnwiu6, Mnwiu6, Tnwiu6, Aowiu6, Howiu6, Oowiu6, Vowiu6;
wire Cpwiu6, Jpwiu6, Qpwiu6, Xpwiu6, Eqwiu6, Lqwiu6, Sqwiu6, Zqwiu6, Grwiu6, Nrwiu6;
wire Urwiu6, Bswiu6, Iswiu6, Pswiu6, Wswiu6, Dtwiu6, Ktwiu6, Rtwiu6, Ytwiu6, Fuwiu6;
wire Muwiu6, Tuwiu6, Avwiu6, Hvwiu6, Ovwiu6, Vvwiu6, Cwwiu6, Jwwiu6, Qwwiu6, Xwwiu6;
wire Exwiu6, Lxwiu6, Sxwiu6, Zxwiu6, Gywiu6, Nywiu6, Uywiu6, Bzwiu6, Izwiu6, Pzwiu6;
wire Wzwiu6, D0xiu6, K0xiu6, R0xiu6, Y0xiu6, F1xiu6, M1xiu6, T1xiu6, A2xiu6, H2xiu6;
wire O2xiu6, V2xiu6, C3xiu6, J3xiu6, Q3xiu6, X3xiu6, E4xiu6, L4xiu6, S4xiu6, Z4xiu6;
wire G5xiu6, N5xiu6, U5xiu6, B6xiu6, I6xiu6, P6xiu6, W6xiu6, D7xiu6, K7xiu6, R7xiu6;
wire Y7xiu6, F8xiu6, M8xiu6, T8xiu6, A9xiu6, H9xiu6, O9xiu6, V9xiu6, Caxiu6, Jaxiu6;
wire Qaxiu6, Xaxiu6, Ebxiu6, Lbxiu6, Sbxiu6, Zbxiu6, Gcxiu6, Ncxiu6, Ucxiu6, Bdxiu6;
wire Idxiu6, Pdxiu6, Wdxiu6, Dexiu6, Kexiu6, Rexiu6, Yexiu6, Ffxiu6, Mfxiu6, Tfxiu6;
wire Agxiu6, Hgxiu6, Ogxiu6, Vgxiu6, Chxiu6, Jhxiu6, Qhxiu6, Xhxiu6, Eixiu6, Lixiu6;
wire Sixiu6, Zixiu6, Gjxiu6, Njxiu6, Ujxiu6, Bkxiu6, Ikxiu6, Pkxiu6, Wkxiu6, Dlxiu6;
wire Klxiu6, Rlxiu6, Ylxiu6, Fmxiu6, Mmxiu6, Tmxiu6, Anxiu6, Hnxiu6, Onxiu6, Vnxiu6;
wire Coxiu6, Joxiu6, Qoxiu6, Xoxiu6, Epxiu6, Lpxiu6, Spxiu6, Zpxiu6, Gqxiu6, Nqxiu6;
wire Uqxiu6, Brxiu6, Irxiu6, Prxiu6, Wrxiu6, Dsxiu6, Ksxiu6, Rsxiu6, Ysxiu6, Ftxiu6;
wire Mtxiu6, Ttxiu6, Auxiu6, Huxiu6, Ouxiu6, Vuxiu6, Cvxiu6, Jvxiu6, Qvxiu6, Xvxiu6;
wire Ewxiu6, Lwxiu6, Swxiu6, Zwxiu6, Gxxiu6, Nxxiu6, Uxxiu6, Byxiu6, Iyxiu6, Pyxiu6;
wire Wyxiu6, Dzxiu6, Kzxiu6, Rzxiu6, Yzxiu6, F0yiu6, M0yiu6, T0yiu6, A1yiu6, H1yiu6;
wire O1yiu6, V1yiu6, C2yiu6, J2yiu6, Q2yiu6, X2yiu6, E3yiu6, L3yiu6, S3yiu6, Z3yiu6;
wire G4yiu6, N4yiu6, U4yiu6, B5yiu6, I5yiu6, P5yiu6, W5yiu6, D6yiu6, K6yiu6, R6yiu6;
wire Y6yiu6, F7yiu6, M7yiu6, T7yiu6, A8yiu6, H8yiu6, O8yiu6, V8yiu6, C9yiu6, J9yiu6;
wire Q9yiu6, X9yiu6, Eayiu6, Layiu6, Sayiu6, Zayiu6, Gbyiu6, Nbyiu6, Ubyiu6, Bcyiu6;
wire Icyiu6, Pcyiu6, Wcyiu6, Ddyiu6, Kdyiu6, Rdyiu6, Ydyiu6, Feyiu6, Meyiu6, Teyiu6;
wire Afyiu6, Hfyiu6, Ofyiu6, Vfyiu6, Cgyiu6, Jgyiu6, Qgyiu6, Xgyiu6, Ehyiu6, Lhyiu6;
wire Shyiu6, Zhyiu6, Giyiu6, Niyiu6, Uiyiu6, Bjyiu6, Ijyiu6, Pjyiu6, Wjyiu6, Dkyiu6;
wire Kkyiu6, Rkyiu6, Ykyiu6, Flyiu6, Mlyiu6, Tlyiu6, Amyiu6, Hmyiu6, Omyiu6, Vmyiu6;
wire Cnyiu6, Jnyiu6, Qnyiu6, Xnyiu6, Eoyiu6, Loyiu6, Soyiu6, Zoyiu6, Gpyiu6, Npyiu6;
wire Upyiu6, Bqyiu6, Iqyiu6, Pqyiu6, Wqyiu6, Dryiu6, Kryiu6, Rryiu6, Yryiu6, Fsyiu6;
wire Msyiu6, Tsyiu6, Atyiu6, Htyiu6, Otyiu6, Vtyiu6, Cuyiu6, Juyiu6, Quyiu6, Xuyiu6;
wire Evyiu6, Lvyiu6, Svyiu6, Zvyiu6, Gwyiu6, Nwyiu6, Uwyiu6, Bxyiu6, Ixyiu6, Pxyiu6;
wire Wxyiu6, Dyyiu6, Kyyiu6, Ryyiu6, Yyyiu6, Fzyiu6, Mzyiu6, Tzyiu6, A0ziu6, H0ziu6;
wire O0ziu6, V0ziu6, C1ziu6, J1ziu6, Q1ziu6, X1ziu6, E2ziu6, L2ziu6, S2ziu6, Z2ziu6;
wire G3ziu6, N3ziu6, U3ziu6, B4ziu6, I4ziu6, P4ziu6, W4ziu6, D5ziu6, K5ziu6, R5ziu6;
wire Y5ziu6, F6ziu6, M6ziu6, T6ziu6, A7ziu6, H7ziu6, O7ziu6, V7ziu6, C8ziu6, J8ziu6;
wire Q8ziu6, X8ziu6, E9ziu6, L9ziu6, S9ziu6, Z9ziu6, Gaziu6, Naziu6, Uaziu6, Bbziu6;
wire Ibziu6, Pbziu6, Wbziu6, Dcziu6, Kcziu6, Rcziu6, Ycziu6, Fdziu6, Mdziu6, Tdziu6;
wire Aeziu6, Heziu6, Oeziu6, Veziu6, Cfziu6, Jfziu6, Qfziu6, Xfziu6, Egziu6, Lgziu6;
wire Sgziu6, Zgziu6, Ghziu6, Nhziu6, Uhziu6, Biziu6, Iiziu6, Piziu6, Wiziu6, Djziu6;
wire Kjziu6, Rjziu6, Yjziu6, Fkziu6, Mkziu6, Tkziu6, Alziu6, Hlziu6, Olziu6, Vlziu6;
wire Cmziu6, Jmziu6, Qmziu6, Xmziu6, Enziu6, Lnziu6, Snziu6, Znziu6, Goziu6, Noziu6;
wire Uoziu6, Bpziu6, Ipziu6, Ppziu6, Wpziu6, Dqziu6, Kqziu6, Rqziu6, Yqziu6, Frziu6;
wire Mrziu6, Trziu6, Asziu6, Hsziu6, Osziu6, Vsziu6, Ctziu6, Jtziu6, Qtziu6, Xtziu6;
wire Euziu6, Luziu6, Suziu6, Zuziu6, Gvziu6, Nvziu6, Uvziu6, Bwziu6, Iwziu6, Pwziu6;
wire Wwziu6, Dxziu6, Kxziu6, Rxziu6, Yxziu6, Fyziu6, Myziu6, Tyziu6, Azziu6, Hzziu6;
wire Ozziu6, Vzziu6, C00ju6, J00ju6, Q00ju6, X00ju6, E10ju6, L10ju6, S10ju6, Z10ju6;
wire G20ju6, N20ju6, U20ju6, B30ju6, I30ju6, P30ju6, W30ju6, D40ju6, K40ju6, R40ju6;
wire Y40ju6, F50ju6, M50ju6, T50ju6, A60ju6, H60ju6, O60ju6, V60ju6, C70ju6, J70ju6;
wire Q70ju6, X70ju6, E80ju6, L80ju6, S80ju6, Z80ju6, G90ju6, N90ju6, U90ju6, Ba0ju6;
wire Ia0ju6, Pa0ju6, Wa0ju6, Db0ju6, Kb0ju6, Rb0ju6, Yb0ju6, Fc0ju6, Mc0ju6, Tc0ju6;
wire Ad0ju6, Hd0ju6, Od0ju6, Vd0ju6, Ce0ju6, Je0ju6, Qe0ju6, Xe0ju6, Ef0ju6, Lf0ju6;
wire Sf0ju6, Zf0ju6, Gg0ju6, Ng0ju6, Ug0ju6, Bh0ju6, Ih0ju6, Ph0ju6, Wh0ju6, Di0ju6;
wire Ki0ju6, Ri0ju6, Yi0ju6, Fj0ju6, Mj0ju6, Tj0ju6, Ak0ju6, Hk0ju6, Ok0ju6, Vk0ju6;
wire Cl0ju6, Jl0ju6, Ql0ju6, Xl0ju6, Em0ju6, Lm0ju6, Sm0ju6, Zm0ju6, Gn0ju6, Nn0ju6;
wire Un0ju6, Bo0ju6, Io0ju6, Po0ju6, Wo0ju6, Dp0ju6, Kp0ju6, Rp0ju6, Yp0ju6, Fq0ju6;
wire Mq0ju6, Tq0ju6, Ar0ju6, Hr0ju6, Or0ju6, Vr0ju6, Cs0ju6, Js0ju6, Qs0ju6, Xs0ju6;
wire Et0ju6, Lt0ju6, St0ju6, Zt0ju6, Gu0ju6, Nu0ju6, Uu0ju6, Bv0ju6, Iv0ju6, Pv0ju6;
wire Wv0ju6, Dw0ju6, Kw0ju6, Rw0ju6, Yw0ju6, Fx0ju6, Mx0ju6, Tx0ju6, Ay0ju6, Hy0ju6;
wire Oy0ju6, Vy0ju6, Cz0ju6, Jz0ju6, Qz0ju6, Xz0ju6, E01ju6, L01ju6, S01ju6, Z01ju6;
wire G11ju6, N11ju6, U11ju6, B21ju6, I21ju6, P21ju6, W21ju6, D31ju6, K31ju6, R31ju6;
wire Y31ju6, F41ju6, M41ju6, T41ju6, A51ju6, H51ju6, O51ju6, V51ju6, C61ju6, J61ju6;
wire Q61ju6, X61ju6, E71ju6, L71ju6, S71ju6, Z71ju6, G81ju6, N81ju6, U81ju6, B91ju6;
wire I91ju6, P91ju6, W91ju6, Da1ju6, Ka1ju6, Ra1ju6, Ya1ju6, Fb1ju6, Mb1ju6, Tb1ju6;
wire Ac1ju6, Hc1ju6, Oc1ju6, Vc1ju6, Cd1ju6, Jd1ju6, Qd1ju6, Xd1ju6, Ee1ju6, Le1ju6;
wire Se1ju6, Ze1ju6, Gf1ju6, Nf1ju6, Uf1ju6, Bg1ju6, Ig1ju6, Pg1ju6, Wg1ju6, Dh1ju6;
wire Kh1ju6, Rh1ju6, Yh1ju6, Fi1ju6, Mi1ju6, Ti1ju6, Aj1ju6, Hj1ju6, Oj1ju6, Vj1ju6;
wire Ck1ju6, Jk1ju6, Qk1ju6, Xk1ju6, El1ju6, Ll1ju6, Sl1ju6, Zl1ju6, Gm1ju6, Nm1ju6;
wire Um1ju6, Bn1ju6, In1ju6, Pn1ju6, Wn1ju6, Do1ju6, Ko1ju6, Ro1ju6, Yo1ju6, Fp1ju6;
wire Mp1ju6, Tp1ju6, Aq1ju6, Hq1ju6, Oq1ju6, Vq1ju6, Cr1ju6, Jr1ju6, Qr1ju6, Xr1ju6;
wire Es1ju6, Ls1ju6, Ss1ju6, Zs1ju6, Gt1ju6, Nt1ju6, Ut1ju6, Bu1ju6, Iu1ju6, Pu1ju6;
wire Wu1ju6, Dv1ju6, Kv1ju6, Rv1ju6, Yv1ju6, Fw1ju6, Mw1ju6, Tw1ju6, Ax1ju6, Hx1ju6;
wire Ox1ju6, Vx1ju6, Cy1ju6, Jy1ju6, Qy1ju6, Xy1ju6, Ez1ju6, Lz1ju6, Sz1ju6, Zz1ju6;
wire G02ju6, N02ju6, U02ju6, B12ju6, I12ju6, P12ju6, W12ju6, D22ju6, K22ju6, R22ju6;
wire Y22ju6, F32ju6, M32ju6, T32ju6, A42ju6, H42ju6, O42ju6, V42ju6, C52ju6, J52ju6;
wire Q52ju6, X52ju6, E62ju6, L62ju6, S62ju6, Z62ju6, G72ju6, N72ju6, U72ju6, B82ju6;
wire I82ju6, P82ju6, W82ju6, D92ju6, K92ju6, R92ju6, Y92ju6, Fa2ju6, Ma2ju6, Ta2ju6;
wire Ab2ju6, Hb2ju6, Ob2ju6, Vb2ju6, Cc2ju6, Jc2ju6, Qc2ju6, Xc2ju6, Ed2ju6, Ld2ju6;
wire Sd2ju6, Zd2ju6, Ge2ju6, Ne2ju6, Ue2ju6, Bf2ju6, If2ju6, Pf2ju6, Wf2ju6, Dg2ju6;
wire Kg2ju6, Rg2ju6, Yg2ju6, Fh2ju6, Mh2ju6, Th2ju6, Ai2ju6, Hi2ju6, Oi2ju6, Vi2ju6;
wire Cj2ju6, Jj2ju6, Qj2ju6, Xj2ju6, Ek2ju6, Lk2ju6, Sk2ju6, Zk2ju6, Gl2ju6, Nl2ju6;
wire Ul2ju6, Bm2ju6, Im2ju6, Pm2ju6, Wm2ju6, Dn2ju6, Kn2ju6, Rn2ju6, Yn2ju6, Fo2ju6;
wire Mo2ju6, To2ju6, Ap2ju6, Hp2ju6, Op2ju6, Vp2ju6, Cq2ju6, Jq2ju6, Qq2ju6, Xq2ju6;
wire Er2ju6, Lr2ju6, Sr2ju6, Zr2ju6, Gs2ju6, Ns2ju6, Us2ju6, Bt2ju6, It2ju6, Pt2ju6;
wire Wt2ju6, Du2ju6, Ku2ju6, Ru2ju6, Yu2ju6, Fv2ju6, Mv2ju6, Tv2ju6, Aw2ju6, Hw2ju6;
wire Ow2ju6, Vw2ju6, Cx2ju6, Jx2ju6, Qx2ju6, Xx2ju6, Ey2ju6, Ly2ju6, Sy2ju6, Zy2ju6;
wire Gz2ju6, Nz2ju6, Uz2ju6, B03ju6, I03ju6, P03ju6, W03ju6, D13ju6, K13ju6, R13ju6;
wire Y13ju6, F23ju6, M23ju6, T23ju6, A33ju6, H33ju6, O33ju6, V33ju6, C43ju6, J43ju6;
wire Q43ju6, X43ju6, E53ju6, L53ju6, S53ju6, Z53ju6, G63ju6, N63ju6, U63ju6, B73ju6;
wire I73ju6, P73ju6, W73ju6, D83ju6, K83ju6, R83ju6, Y83ju6, F93ju6, M93ju6, T93ju6;
wire Aa3ju6, Ha3ju6, Oa3ju6, Va3ju6, Cb3ju6, Jb3ju6, Qb3ju6, Xb3ju6, Ec3ju6, Lc3ju6;
wire Sc3ju6, Zc3ju6, Gd3ju6, Nd3ju6, Ud3ju6, Be3ju6, Ie3ju6, Pe3ju6, We3ju6, Df3ju6;
wire Kf3ju6, Rf3ju6, Yf3ju6, Fg3ju6, Mg3ju6, Tg3ju6, Ah3ju6, Hh3ju6, Oh3ju6, Vh3ju6;
wire Ci3ju6, Ji3ju6, Qi3ju6, Xi3ju6, Ej3ju6, Lj3ju6, Sj3ju6, Zj3ju6, Gk3ju6, Nk3ju6;
wire Uk3ju6, Bl3ju6, Il3ju6, Pl3ju6, Wl3ju6, Dm3ju6, Km3ju6, Rm3ju6, Ym3ju6, Fn3ju6;
wire Mn3ju6, Tn3ju6, Ao3ju6, Ho3ju6, Oo3ju6, Vo3ju6, Cp3ju6, Jp3ju6, Qp3ju6, Xp3ju6;
wire Eq3ju6, Lq3ju6, Sq3ju6, Zq3ju6, Gr3ju6, Nr3ju6, Ur3ju6, Bs3ju6, Is3ju6, Ps3ju6;
wire Ws3ju6, Dt3ju6, Kt3ju6, Rt3ju6, Yt3ju6, Fu3ju6, Mu3ju6, Tu3ju6, Av3ju6, Hv3ju6;
wire Ov3ju6, Vv3ju6, Cw3ju6, Jw3ju6, Qw3ju6, Xw3ju6, Ex3ju6, Lx3ju6, Sx3ju6, Zx3ju6;
wire Gy3ju6, Ny3ju6, Uy3ju6, Bz3ju6, Iz3ju6, Pz3ju6, Wz3ju6, D04ju6, K04ju6, R04ju6;
wire Y04ju6, F14ju6, M14ju6, T14ju6, A24ju6, H24ju6, O24ju6, V24ju6, C34ju6, J34ju6;
wire Q34ju6, X34ju6, E44ju6, L44ju6, S44ju6, Z44ju6, G54ju6, N54ju6, U54ju6, B64ju6;
wire I64ju6, P64ju6, W64ju6, D74ju6, K74ju6, R74ju6, Y74ju6, F84ju6, M84ju6, T84ju6;
wire A94ju6, H94ju6, O94ju6, V94ju6, Ca4ju6, Ja4ju6, Qa4ju6, Xa4ju6, Eb4ju6, Lb4ju6;
wire Sb4ju6, Zb4ju6, Gc4ju6, Nc4ju6, Uc4ju6, Bd4ju6, Id4ju6, Pd4ju6, Wd4ju6, De4ju6;
wire Ke4ju6, Re4ju6, Ye4ju6, Ff4ju6, Mf4ju6, Tf4ju6, Ag4ju6, Hg4ju6, Og4ju6, Vg4ju6;
wire Ch4ju6, Jh4ju6, Qh4ju6, Xh4ju6, Ei4ju6, Li4ju6, Si4ju6, Zi4ju6, Gj4ju6, Nj4ju6;
wire Uj4ju6, Bk4ju6, Ik4ju6, Pk4ju6, Wk4ju6, Dl4ju6, Kl4ju6, Rl4ju6, Yl4ju6, Fm4ju6;
wire Mm4ju6, Tm4ju6, An4ju6, Hn4ju6, On4ju6, Vn4ju6, Co4ju6, Jo4ju6, Qo4ju6, Xo4ju6;
wire Ep4ju6, Lp4ju6, Sp4ju6, Zp4ju6, Gq4ju6, Nq4ju6, Uq4ju6, Br4ju6, Ir4ju6, Pr4ju6;
wire Wr4ju6, Ds4ju6, Ks4ju6, Rs4ju6, Ys4ju6, Ft4ju6, Mt4ju6, Tt4ju6, Au4ju6, Hu4ju6;
wire Ou4ju6, Vu4ju6, Cv4ju6, Jv4ju6, Qv4ju6, Xv4ju6, Ew4ju6, Lw4ju6, Sw4ju6, Zw4ju6;
wire Gx4ju6, Nx4ju6, Ux4ju6, By4ju6, Iy4ju6, Py4ju6, Wy4ju6, Dz4ju6, Kz4ju6, Rz4ju6;
wire Yz4ju6, F05ju6, M05ju6, T05ju6, A15ju6, H15ju6, O15ju6, V15ju6, C25ju6, J25ju6;
wire Q25ju6, X25ju6, E35ju6, L35ju6, S35ju6, Z35ju6, G45ju6, N45ju6, U45ju6, B55ju6;
wire I55ju6, P55ju6, W55ju6, D65ju6, K65ju6, R65ju6, Y65ju6, F75ju6, M75ju6, T75ju6;
wire A85ju6, H85ju6, O85ju6, V85ju6, C95ju6, J95ju6, Q95ju6, X95ju6, Ea5ju6, La5ju6;
wire Sa5ju6, Za5ju6, Gb5ju6, Nb5ju6, Ub5ju6, Bc5ju6, Ic5ju6, Pc5ju6, Wc5ju6, Dd5ju6;
wire Kd5ju6, Rd5ju6, Yd5ju6, Fe5ju6, Me5ju6, Te5ju6, Af5ju6, Hf5ju6, Of5ju6, Vf5ju6;
wire Cg5ju6, Jg5ju6, Qg5ju6, Xg5ju6, Eh5ju6, Lh5ju6, Sh5ju6, Zh5ju6, Gi5ju6, Ni5ju6;
wire Ui5ju6, Bj5ju6, Ij5ju6, Pj5ju6, Wj5ju6, Dk5ju6, Kk5ju6, Rk5ju6, Yk5ju6, Fl5ju6;
wire Ml5ju6, Tl5ju6, Am5ju6, Hm5ju6, Om5ju6, Vm5ju6, Cn5ju6, Jn5ju6, Qn5ju6, Xn5ju6;
wire Eo5ju6, Lo5ju6, So5ju6, Zo5ju6, Gp5ju6, Np5ju6, Up5ju6, Bq5ju6, Iq5ju6, Pq5ju6;
wire Wq5ju6, Dr5ju6, Kr5ju6, Rr5ju6, Yr5ju6, Fs5ju6, Ms5ju6, Ts5ju6, At5ju6, Ht5ju6;
wire Ot5ju6, Vt5ju6, Cu5ju6, Ju5ju6, Qu5ju6, Xu5ju6, Ev5ju6, Lv5ju6, Sv5ju6, Zv5ju6;
wire Gw5ju6, Nw5ju6, Uw5ju6, Bx5ju6, Ix5ju6, Px5ju6, Wx5ju6, Dy5ju6, Ky5ju6, Ry5ju6;
wire Yy5ju6, Fz5ju6, Mz5ju6, Tz5ju6, A06ju6, H06ju6, O06ju6, V06ju6, C16ju6, J16ju6;
wire Q16ju6, X16ju6, E26ju6, L26ju6, S26ju6, Z26ju6, G36ju6, N36ju6, U36ju6, B46ju6;
wire I46ju6, P46ju6, W46ju6, D56ju6, K56ju6, R56ju6, Y56ju6, F66ju6, M66ju6, T66ju6;
wire A76ju6, H76ju6, O76ju6, V76ju6, C86ju6, J86ju6, Q86ju6, X86ju6, E96ju6, L96ju6;
wire S96ju6, Z96ju6, Ga6ju6, Na6ju6, Ua6ju6, Bb6ju6, Ib6ju6, Pb6ju6, Wb6ju6, Dc6ju6;
wire Kc6ju6, Rc6ju6, Yc6ju6, Fd6ju6, Md6ju6, Td6ju6, Ae6ju6, He6ju6, Oe6ju6, Ve6ju6;
wire Cf6ju6, Jf6ju6, Qf6ju6, Xf6ju6, Eg6ju6, Lg6ju6, Sg6ju6, Zg6ju6, Gh6ju6, Nh6ju6;
wire Uh6ju6, Bi6ju6, Ii6ju6, Pi6ju6, Wi6ju6, Dj6ju6, Kj6ju6, Rj6ju6, Yj6ju6, Fk6ju6;
wire Mk6ju6, Tk6ju6, Al6ju6, Hl6ju6, Ol6ju6, Vl6ju6, Cm6ju6, Jm6ju6, Qm6ju6, Xm6ju6;
wire En6ju6, Ln6ju6, Sn6ju6, Zn6ju6, Go6ju6, No6ju6, Uo6ju6, Bp6ju6, Ip6ju6, Pp6ju6;
wire Wp6ju6, Dq6ju6, Kq6ju6, Rq6ju6, Yq6ju6, Fr6ju6, Mr6ju6, Tr6ju6, As6ju6, Hs6ju6;
wire Os6ju6, Vs6ju6, Ct6ju6, Jt6ju6, Qt6ju6, Xt6ju6, Eu6ju6, Lu6ju6, Su6ju6, Zu6ju6;
wire Gv6ju6, Nv6ju6, Uv6ju6, Bw6ju6, Iw6ju6, Pw6ju6, Ww6ju6, Dx6ju6, Kx6ju6, Rx6ju6;
wire Yx6ju6, Fy6ju6, My6ju6, Ty6ju6, Az6ju6, Hz6ju6, Oz6ju6, Vz6ju6, C07ju6, J07ju6;
wire Q07ju6, X07ju6, E17ju6, L17ju6, S17ju6, Z17ju6, G27ju6, N27ju6, U27ju6, B37ju6;
wire I37ju6, P37ju6, W37ju6, D47ju6, K47ju6, R47ju6, Y47ju6, F57ju6, M57ju6, T57ju6;
wire A67ju6, H67ju6, O67ju6, V67ju6, C77ju6, J77ju6, Q77ju6, X77ju6, E87ju6, L87ju6;
wire S87ju6, Z87ju6, G97ju6, N97ju6, U97ju6, Ba7ju6, Ia7ju6, Pa7ju6, Wa7ju6, Db7ju6;
wire Kb7ju6, Rb7ju6, Yb7ju6, Fc7ju6, Mc7ju6, Tc7ju6, Ad7ju6, Hd7ju6, Od7ju6, Vd7ju6;
wire Ce7ju6, Je7ju6, Qe7ju6, Xe7ju6, Ef7ju6, Lf7ju6, Sf7ju6, Zf7ju6, Gg7ju6, Ng7ju6;
wire Ug7ju6, Bh7ju6, Ih7ju6, Ph7ju6, Wh7ju6, Di7ju6, Ki7ju6, Ri7ju6, Yi7ju6, Fj7ju6;
wire Mj7ju6, Tj7ju6, Ak7ju6, Hk7ju6, Ok7ju6, Vk7ju6, Cl7ju6, Jl7ju6, Ql7ju6, Xl7ju6;
wire Em7ju6, Lm7ju6, Sm7ju6, Zm7ju6, Gn7ju6, Nn7ju6, Un7ju6, Bo7ju6, Io7ju6, Po7ju6;
wire Wo7ju6, Dp7ju6, Kp7ju6, Rp7ju6, Yp7ju6, Fq7ju6, Mq7ju6, Tq7ju6, Ar7ju6, Hr7ju6;
wire Or7ju6, Vr7ju6, Cs7ju6, Js7ju6, Qs7ju6, Xs7ju6, Et7ju6, Lt7ju6, St7ju6, Zt7ju6;
wire Gu7ju6, Nu7ju6, Uu7ju6, Bv7ju6, Iv7ju6, Pv7ju6, Wv7ju6, Dw7ju6, Kw7ju6, Rw7ju6;
wire Yw7ju6, Fx7ju6, Mx7ju6, Tx7ju6, Ay7ju6, Hy7ju6, Oy7ju6, Vy7ju6, Cz7ju6, Jz7ju6;
wire Qz7ju6, Xz7ju6, E08ju6, L08ju6, S08ju6, Z08ju6, G18ju6, N18ju6, U18ju6, B28ju6;
wire I28ju6, P28ju6, W28ju6, D38ju6, K38ju6, R38ju6, Y38ju6, F48ju6, M48ju6, T48ju6;
wire A58ju6, H58ju6, O58ju6, V58ju6, C68ju6, J68ju6, Q68ju6, X68ju6, E78ju6, L78ju6;
wire S78ju6, Z78ju6, G88ju6, N88ju6, U88ju6, B98ju6, I98ju6, P98ju6, W98ju6, Da8ju6;
wire Ka8ju6, Ra8ju6, Ya8ju6, Fb8ju6, Mb8ju6, Tb8ju6, Ac8ju6, Hc8ju6, Oc8ju6, Vc8ju6;
wire Cd8ju6, Jd8ju6, Qd8ju6, Xd8ju6, Ee8ju6, Le8ju6, Se8ju6, Ze8ju6, Gf8ju6, Nf8ju6;
wire Uf8ju6, Bg8ju6, Ig8ju6, Pg8ju6, Wg8ju6, Dh8ju6, Kh8ju6, Rh8ju6, Yh8ju6, Fi8ju6;
wire Mi8ju6, Ti8ju6, Aj8ju6, Hj8ju6, Oj8ju6, Vj8ju6, Ck8ju6, Jk8ju6, Qk8ju6, Xk8ju6;
wire El8ju6, Ll8ju6, Sl8ju6, Zl8ju6, Gm8ju6, Nm8ju6, Um8ju6, Bn8ju6, In8ju6, Pn8ju6;
wire Wn8ju6, Do8ju6, Ko8ju6, Ro8ju6, Yo8ju6, Fp8ju6, Mp8ju6, Tp8ju6, Aq8ju6, Hq8ju6;
wire Oq8ju6, Vq8ju6, Cr8ju6, Jr8ju6, Qr8ju6, Xr8ju6, Es8ju6, Ls8ju6, Ss8ju6, Zs8ju6;
wire Gt8ju6, Nt8ju6, Ut8ju6, Bu8ju6, Iu8ju6, Pu8ju6, Wu8ju6, Dv8ju6, Kv8ju6, Rv8ju6;
wire Yv8ju6, Fw8ju6, Mw8ju6, Tw8ju6, Ax8ju6, Hx8ju6, Ox8ju6, Vx8ju6, Cy8ju6, Jy8ju6;
wire Qy8ju6, Xy8ju6, Ez8ju6, Lz8ju6, Sz8ju6, Zz8ju6, G09ju6, N09ju6, U09ju6, B19ju6;
wire I19ju6, P19ju6, W19ju6, D29ju6, K29ju6, R29ju6, Y29ju6, F39ju6, M39ju6, T39ju6;
wire A49ju6, H49ju6, O49ju6, V49ju6, C59ju6, J59ju6, Q59ju6, X59ju6, E69ju6, L69ju6;
wire S69ju6, Z69ju6, G79ju6, N79ju6, U79ju6, B89ju6, I89ju6, P89ju6, W89ju6, D99ju6;
wire K99ju6, R99ju6, Y99ju6, Fa9ju6, Ma9ju6, Ta9ju6, Ab9ju6, Hb9ju6, Ob9ju6, Vb9ju6;
wire Cc9ju6, Jc9ju6, Qc9ju6, Xc9ju6, Ed9ju6, Ld9ju6, Sd9ju6, Zd9ju6, Ge9ju6, Ne9ju6;
wire Ue9ju6, Bf9ju6, If9ju6, Pf9ju6, Wf9ju6, Dg9ju6, Kg9ju6, Rg9ju6, Yg9ju6, Fh9ju6;
wire Mh9ju6, Th9ju6, Ai9ju6, Hi9ju6, Oi9ju6, Vi9ju6, Cj9ju6, Jj9ju6, Qj9ju6, Xj9ju6;
wire Ek9ju6, Lk9ju6, Sk9ju6, Zk9ju6, Gl9ju6, Nl9ju6, Ul9ju6, Bm9ju6, Im9ju6, Pm9ju6;
wire Wm9ju6, Dn9ju6, Kn9ju6, Rn9ju6, Yn9ju6, Fo9ju6, Mo9ju6, To9ju6, Ap9ju6, Hp9ju6;
wire Op9ju6, Vp9ju6, Cq9ju6, Jq9ju6, Qq9ju6, Xq9ju6, Er9ju6, Lr9ju6, Sr9ju6, Zr9ju6;
wire Gs9ju6, Ns9ju6, Us9ju6, Bt9ju6, It9ju6, Pt9ju6, Wt9ju6, Du9ju6, Ku9ju6, Ru9ju6;
wire Yu9ju6, Fv9ju6, Mv9ju6, Tv9ju6, Aw9ju6, Hw9ju6, Ow9ju6, Vw9ju6, Cx9ju6, Jx9ju6;
wire Qx9ju6, Xx9ju6, Ey9ju6, Ly9ju6, Sy9ju6, Zy9ju6, Gz9ju6, Nz9ju6, Uz9ju6, B0aju6;
wire I0aju6, P0aju6, W0aju6, D1aju6, K1aju6, R1aju6, Y1aju6, F2aju6, M2aju6, T2aju6;
wire A3aju6, H3aju6, O3aju6, V3aju6, C4aju6, J4aju6, Q4aju6, X4aju6, E5aju6, L5aju6;
wire S5aju6, Z5aju6, G6aju6, N6aju6, U6aju6, B7aju6, I7aju6, P7aju6, W7aju6, D8aju6;
wire K8aju6, R8aju6, Y8aju6, F9aju6, M9aju6, T9aju6, Aaaju6, Haaju6, Oaaju6, Vaaju6;
wire Cbaju6, Jbaju6, Qbaju6, Xbaju6, Ecaju6, Lcaju6, Scaju6, Zcaju6, Gdaju6, Ndaju6;
wire Udaju6, Beaju6, Ieaju6, Peaju6, Weaju6, Dfaju6, Kfaju6, Rfaju6, Yfaju6, Fgaju6;
wire Mgaju6, Tgaju6, Ahaju6, Hhaju6, Ohaju6, Vhaju6, Ciaju6, Jiaju6, Qiaju6, Xiaju6;
wire Ejaju6, Ljaju6, Sjaju6, Zjaju6, Gkaju6, Nkaju6, Ukaju6, Blaju6, Ilaju6, Plaju6;
wire Wlaju6, Dmaju6, Kmaju6, Rmaju6, Ymaju6, Fnaju6, Mnaju6, Tnaju6, Aoaju6, Hoaju6;
wire Ooaju6, Voaju6, Cpaju6, Jpaju6, Qpaju6, Xpaju6, Eqaju6, Lqaju6, Sqaju6, Zqaju6;
wire Graju6, Nraju6, Uraju6, Bsaju6, Isaju6, Psaju6, Wsaju6, Dtaju6, K76ow6, R76ow6;
wire Y76ow6, F86ow6, M86ow6, T86ow6, A96ow6, H96ow6, O96ow6, V96ow6, Ca6ow6, Ja6ow6;
wire Qa6ow6, Xa6ow6, Eb6ow6, Lb6ow6, Sb6ow6, Zb6ow6, Gc6ow6, Nc6ow6, Uc6ow6, Bd6ow6;
wire Id6ow6, Pd6ow6, Wd6ow6, De6ow6, Ke6ow6, Re6ow6, Ye6ow6, Ff6ow6, Mf6ow6, Tf6ow6;
wire Ag6ow6, Hg6ow6, Og6ow6, Vg6ow6, Ch6ow6, Jh6ow6, Qh6ow6, Xh6ow6, Ei6ow6, Li6ow6;
wire Si6ow6, Zi6ow6, Gj6ow6, Nj6ow6, Uj6ow6, Bk6ow6, Ik6ow6, Pk6ow6, Wk6ow6, Dl6ow6;
wire Kl6ow6, Rl6ow6, Yl6ow6, Fm6ow6, Mm6ow6, Tm6ow6, An6ow6, Hn6ow6, On6ow6, Vn6ow6;
wire Co6ow6, Jo6ow6, Qo6ow6, Xo6ow6, Ep6ow6, Lp6ow6, Sp6ow6, Zp6ow6, Gq6ow6, Nq6ow6;
wire Uq6ow6, Br6ow6, Ir6ow6, Pr6ow6, Wr6ow6, Ds6ow6, Ks6ow6, Rs6ow6, Ys6ow6, Ft6ow6;
wire Mt6ow6, Tt6ow6, Au6ow6, Hu6ow6, Ou6ow6, Vu6ow6, Cv6ow6, Jv6ow6, Qv6ow6, Xv6ow6;
wire Ew6ow6, Lw6ow6, Sw6ow6, Zw6ow6, Gx6ow6, Nx6ow6, Ux6ow6, By6ow6, Iy6ow6, Py6ow6;
wire Wy6ow6, Dz6ow6, Kz6ow6, Rz6ow6, Yz6ow6, F07ow6, M07ow6, T07ow6, A17ow6, H17ow6;
wire O17ow6, V17ow6, C27ow6, J27ow6, Q27ow6, X27ow6, E37ow6, L37ow6, S37ow6, Z37ow6;
wire G47ow6, N47ow6, U47ow6, B57ow6, I57ow6, P57ow6, W57ow6, D67ow6, K67ow6, R67ow6;
wire Y67ow6, F77ow6, M77ow6, T77ow6, A87ow6, H87ow6, O87ow6, V87ow6, C97ow6, J97ow6;
wire Q97ow6, X97ow6, Ea7ow6, La7ow6, Sa7ow6, Za7ow6, Gb7ow6, Nb7ow6, Ub7ow6, Bc7ow6;
wire Ic7ow6, Pc7ow6, Wc7ow6, Dd7ow6, Kd7ow6, Rd7ow6, Yd7ow6, Fe7ow6, Me7ow6, Te7ow6;
wire Af7ow6, Hf7ow6, Of7ow6, Vf7ow6, Cg7ow6, Jg7ow6, Qg7ow6, Xg7ow6, Eh7ow6, Lh7ow6;
wire Sh7ow6, Zh7ow6, Gi7ow6, Ni7ow6, Ui7ow6, Bj7ow6, Ij7ow6, Pj7ow6, Wj7ow6, Dk7ow6;
wire Kk7ow6, Rk7ow6, Yk7ow6, Fl7ow6, Ml7ow6, Tl7ow6, Am7ow6, Hm7ow6, Om7ow6, Vm7ow6;
wire Cn7ow6, Jn7ow6, Qn7ow6, Xn7ow6, Eo7ow6, Lo7ow6, So7ow6, Zo7ow6, Gp7ow6, Np7ow6;
wire Up7ow6, Bq7ow6, Iq7ow6, Pq7ow6, Wq7ow6, Dr7ow6, Kr7ow6, Rr7ow6, Yr7ow6, Fs7ow6;
wire Ms7ow6, Ts7ow6, At7ow6, Ht7ow6, Ot7ow6, Vt7ow6, Cu7ow6, Ju7ow6, Qu7ow6, Xu7ow6;
wire Ev7ow6, Lv7ow6, Sv7ow6, Zv7ow6, Gw7ow6, Nw7ow6, Uw7ow6, Bx7ow6, Ix7ow6, Px7ow6;
wire Wx7ow6, Dy7ow6, Ky7ow6, Ry7ow6, Yy7ow6, Fz7ow6, Mz7ow6, Tz7ow6, A08ow6, H08ow6;
wire O08ow6, V08ow6, C18ow6, J18ow6, Q18ow6, X18ow6, E28ow6, L28ow6, S28ow6, Z28ow6;
wire G38ow6, N38ow6, U38ow6, B48ow6, I48ow6, P48ow6, W48ow6, D58ow6, K58ow6, R58ow6;
wire Y58ow6, F68ow6, M68ow6, T68ow6, A78ow6, H78ow6, O78ow6, V78ow6, C88ow6, J88ow6;
wire Q88ow6, X88ow6, E98ow6, L98ow6, S98ow6, Z98ow6, Ga8ow6, Na8ow6, Ua8ow6, Bb8ow6;
wire Ib8ow6, Pb8ow6, Wb8ow6, Dc8ow6, Kc8ow6, Rc8ow6, Yc8ow6, Fd8ow6, Md8ow6, Td8ow6;
wire Ae8ow6, He8ow6, Oe8ow6, Ve8ow6, Cf8ow6, Jf8ow6, Qf8ow6, Xf8ow6, Eg8ow6, Lg8ow6;
wire Sg8ow6, Zg8ow6, Gh8ow6, Nh8ow6, Uh8ow6, Bi8ow6, Ii8ow6, Pi8ow6, Wi8ow6, Dj8ow6;
wire Kj8ow6, Rj8ow6, Yj8ow6, Fk8ow6, Mk8ow6, Tk8ow6, Al8ow6, Hl8ow6, Ol8ow6, Vl8ow6;
wire Cm8ow6, Jm8ow6, Qm8ow6, Xm8ow6, En8ow6, Ln8ow6, Sn8ow6, Zn8ow6, Go8ow6, No8ow6;
wire Uo8ow6, Bp8ow6, Ip8ow6, Pp8ow6, Wp8ow6, Dq8ow6, Kq8ow6, Rq8ow6, Yq8ow6, Fr8ow6;
wire Mr8ow6, Tr8ow6, As8ow6, Hs8ow6, Os8ow6, Vs8ow6, Ct8ow6, Jt8ow6, Qt8ow6, Xt8ow6;
wire Eu8ow6, Lu8ow6, Su8ow6, Zu8ow6, Gv8ow6, Nv8ow6, Uv8ow6, Bw8ow6, Iw8ow6, Pw8ow6;
wire Ww8ow6, Dx8ow6, Kx8ow6, Rx8ow6, Yx8ow6, Fy8ow6, My8ow6, Ty8ow6, Az8ow6, Hz8ow6;
wire Oz8ow6, Vz8ow6, C09ow6, J09ow6, Q09ow6, X09ow6, E19ow6, L19ow6, S19ow6, Z19ow6;
wire G29ow6, N29ow6, U29ow6, B39ow6, I39ow6, P39ow6, W39ow6, D49ow6, K49ow6, R49ow6;
wire Y49ow6, F59ow6, M59ow6, T59ow6, A69ow6, H69ow6, O69ow6, V69ow6, C79ow6, J79ow6;
wire Q79ow6, X79ow6, E89ow6, L89ow6, S89ow6, Z89ow6, G99ow6, N99ow6, U99ow6, Ba9ow6;
wire Ia9ow6, Pa9ow6, Wa9ow6, Db9ow6, Kb9ow6, Rb9ow6, Yb9ow6, Fc9ow6, Mc9ow6, Tc9ow6;
wire Ad9ow6, Hd9ow6, Od9ow6, Vd9ow6, Ce9ow6, Je9ow6, Qe9ow6, Xe9ow6, Ef9ow6, Lf9ow6;
wire Sf9ow6, Zf9ow6, Gg9ow6, Ng9ow6, Ug9ow6, Bh9ow6, Ih9ow6, Ph9ow6, Wh9ow6, Di9ow6;
wire Ki9ow6, Ri9ow6, Yi9ow6, Fj9ow6, Mj9ow6, Tj9ow6, Ak9ow6, Hk9ow6, Ok9ow6, Vk9ow6;
wire Cl9ow6, Jl9ow6, Ql9ow6, Xl9ow6, Em9ow6, Lm9ow6, Sm9ow6, Zm9ow6, Gn9ow6, Nn9ow6;
wire Un9ow6, Bo9ow6, Io9ow6, Po9ow6, Wo9ow6, Dp9ow6, Kp9ow6, Rp9ow6, Yp9ow6, Fq9ow6;
wire Mq9ow6, Tq9ow6, Ar9ow6, Hr9ow6, Or9ow6, Vr9ow6, Cs9ow6, Js9ow6, Qs9ow6, Xs9ow6;
wire Et9ow6, Lt9ow6, St9ow6, Zt9ow6, Gu9ow6, Nu9ow6, Uu9ow6, Bv9ow6, Iv9ow6, Pv9ow6;
wire Wv9ow6, Dw9ow6, Kw9ow6, Rw9ow6, Yw9ow6, Fx9ow6, Mx9ow6, Tx9ow6, Ay9ow6, Hy9ow6;
wire Oy9ow6, Vy9ow6, Cz9ow6, Jz9ow6, Qz9ow6, Xz9ow6, E0aow6, L0aow6, S0aow6, Z0aow6;
wire G1aow6, N1aow6, U1aow6, B2aow6, I2aow6, P2aow6, W2aow6, D3aow6, K3aow6, R3aow6;
wire Y3aow6, F4aow6, M4aow6, T4aow6, A5aow6, H5aow6, O5aow6, V5aow6, C6aow6, J6aow6;
wire Q6aow6, X6aow6, E7aow6, L7aow6, S7aow6, Z7aow6, G8aow6, N8aow6, U8aow6, B9aow6;
wire I9aow6, P9aow6, W9aow6, Daaow6, Kaaow6, Raaow6, Yaaow6, Fbaow6, Mbaow6, Tbaow6;
wire Acaow6, Hcaow6, Ocaow6, Vcaow6, Cdaow6, Jdaow6, Qdaow6, Xdaow6, Eeaow6, Leaow6;
wire Seaow6, Zeaow6, Gfaow6, Nfaow6, Ufaow6, Bgaow6, Igaow6, Pgaow6, Wgaow6, Dhaow6;
wire Khaow6, Rhaow6, Yhaow6, Fiaow6, Miaow6, Tiaow6, Ajaow6, Hjaow6, Ojaow6, Vjaow6;
wire Ckaow6, Jkaow6, Qkaow6, Xkaow6, Elaow6, Llaow6, Slaow6, Zlaow6, Gmaow6, Nmaow6;
wire Umaow6, Bnaow6, Inaow6, Pnaow6, Wnaow6, Doaow6, Koaow6, Roaow6, Yoaow6, Fpaow6;
wire Mpaow6, Tpaow6, Aqaow6, Hqaow6, Oqaow6, Vqaow6, Craow6, Jraow6, Qraow6, Xraow6;
wire Esaow6, Lsaow6, Ssaow6, Zsaow6, Gtaow6, Ntaow6, Utaow6, Buaow6, Iuaow6, Puaow6;
wire Wuaow6, Dvaow6, Kvaow6, Rvaow6, Yvaow6, Fwaow6, Mwaow6, Twaow6, Axaow6, Hxaow6;
wire Oxaow6, Vxaow6, Cyaow6, Jyaow6, Qyaow6, Xyaow6, Ezaow6, Lzaow6, Szaow6, Zzaow6;
wire G0bow6, N0bow6, U0bow6, B1bow6, I1bow6, P1bow6, W1bow6, D2bow6, K2bow6, R2bow6;
wire Y2bow6, F3bow6, M3bow6, T3bow6, A4bow6, H4bow6, O4bow6, V4bow6, C5bow6, J5bow6;
wire Q5bow6, X5bow6, E6bow6, L6bow6, S6bow6, Z6bow6, G7bow6, N7bow6, U7bow6, B8bow6;
wire I8bow6, P8bow6, W8bow6, D9bow6, K9bow6, R9bow6, Y9bow6, Fabow6, Mabow6, Tabow6;
wire Abbow6, Hbbow6, Obbow6, Vbbow6, Ccbow6, Jcbow6, Qcbow6, Xcbow6, Edbow6, Ldbow6;
wire Sdbow6, Zdbow6, Gebow6, Nebow6, Uebow6, Bfbow6, Ifbow6, Pfbow6, Wfbow6, Dgbow6;
wire Kgbow6, Rgbow6, Ygbow6, Fhbow6, Mhbow6, Thbow6, Aibow6, Hibow6, Oibow6, Vibow6;
...
This file has been truncated, please download it to see its full contents.
//------------------------------------------------------------------------------
// The confidential and proprietary information contained in this file may
// only be used by a person authorised under and to the extent permitted
// by a subsisting licensing agreement from ARM Limited or its affiliates.
//
// (C) COPYRIGHT 2010-2015 ARM Limited or its affiliates.
// ALL RIGHTS RESERVED
//
// This entire notice must be reproduced on all copies of this file
// and copies of this file may only be made by a person if such person is
// permitted to do so under the terms of a subsisting license agreement
// from ARM Limited or its affiliates.
//
// Version and Release Control Information:
//
// File Revision : $Revision: 275084 $
// File Date : $Date: 2014-03-27 15:09:11 +0000 (Thu, 27 Mar 2014) $
//
// Release Information : Cortex-M0 DesignStart-r1p0-03rel2
//
//------------------------------------------------------------------------------
// Verilog-2001 (IEEE Std 1364-2001)
//------------------------------------------------------------------------------
//
//------------------------------------------------------------------------------
// Cortex-M0 DesignStart processor integration level, including DAP and WIC
//------------------------------------------------------------------------------
module CORTEXM0INTEGRATION (
input wire FCLK,
input wire SCLK,
input wire HCLK,
input wire DCLK,
input wire PORESETn,
input wire DBGRESETn,
input wire HRESETn,
input wire SWCLKTCK,
input wire nTRST,
// AHB-LITE MASTER PORT
output wire [31:0] HADDR,
output wire [ 2:0] HBURST,
output wire HMASTLOCK,
output wire [ 3:0] HPROT,
output wire [ 2:0] HSIZE,
output wire [ 1:0] HTRANS,
output wire [31:0] HWDATA,
output wire HWRITE,
input wire [31:0] HRDATA,
input wire HREADY,
input wire HRESP,
output wire HMASTER,
// CODE SEQUENTIALITY AND SPECULATION
output wire CODENSEQ,
output wire [ 2:0] CODEHINTDE,
output wire SPECHTRANS,
// DEBUG
input wire SWDITMS,
input wire TDI,
output wire SWDO,
output wire SWDOEN,
output wire TDO,
output wire nTDOEN,
input wire DBGRESTART,
output wire DBGRESTARTED,
input wire EDBGRQ,
output wire HALTED,
// MISC
input wire NMI,
input wire [31:0] IRQ,
output wire TXEV,
input wire RXEV,
output wire LOCKUP,
output wire SYSRESETREQ,
input wire [25:0] STCALIB,
input wire STCLKEN,
input wire [ 7:0] IRQLATENCY,
input wire [27:0] ECOREVNUM, // [27:20] to DAP, [19:0] to core
// POWER MANAGEMENT
output wire GATEHCLK,
output wire SLEEPING,
output wire SLEEPDEEP,
output wire WAKEUP,
output wire [33:0] WICSENSE,
input wire SLEEPHOLDREQn,
output wire SLEEPHOLDACKn,
input wire WICENREQ,
output wire WICENACK,
output wire CDBGPWRUPREQ,
input wire CDBGPWRUPACK,
// SCAN IO
input wire SE,
input wire RSTBYPASS
);
//------------------------------------------------------------------------------
// Declare visibility signals and some intermediate signals
//------------------------------------------------------------------------------
wire [31: 0] cm0_r00;
wire [31: 0] cm0_r01;
wire [31: 0] cm0_r02;
wire [31: 0] cm0_r03;
wire [31: 0] cm0_r04;
wire [31: 0] cm0_r05;
wire [31: 0] cm0_r06;
wire [31: 0] cm0_r07;
wire [31: 0] cm0_r08;
wire [31: 0] cm0_r09;
wire [31: 0] cm0_r10;
wire [31: 0] cm0_r11;
wire [31: 0] cm0_r12;
wire [31: 0] cm0_msp;
wire [31: 0] cm0_psp;
wire [31: 0] cm0_r14;
wire [31: 0] cm0_pc;
wire [31: 0] cm0_xpsr;
wire [31: 0] cm0_control;
wire [31: 0] cm0_primask;
wire [29: 0] vis_msp;
wire [29: 0] vis_psp;
wire [30: 0] vis_pc;
wire [ 3: 0] vis_apsr;
wire vis_tbit;
wire [ 5: 0] vis_ipsr;
wire vis_control;
wire vis_primask;
//------------------------------------------------------------------------------
// Instantiate Cortex-M0 processor logic level
//------------------------------------------------------------------------------
cortexm0ds_logic u_logic (
// System inputs
.FCLK (FCLK),
.SCLK (SCLK),
.HCLK (HCLK),
.DCLK (DCLK),
.PORESETn (PORESETn),
.HRESETn (HRESETn),
.DBGRESETn (DBGRESETn),
.RSTBYPASS (RSTBYPASS),
.SE (SE),
// Power management inputs
.SLEEPHOLDREQn (SLEEPHOLDREQn),
.WICENREQ (WICENREQ),
.CDBGPWRUPACK (CDBGPWRUPACK),
// Power management outputs
.SLEEPHOLDACKn (SLEEPHOLDACKn),
.WICENACK (WICENACK),
.CDBGPWRUPREQ (CDBGPWRUPREQ),
.WAKEUP (WAKEUP),
.WICSENSE (WICSENSE),
.GATEHCLK (GATEHCLK),
.SYSRESETREQ (SYSRESETREQ),
// System bus
.HADDR (HADDR[31:0]),
.HTRANS (HTRANS[1:0]),
.HSIZE (HSIZE[2:0]),
.HBURST (HBURST[2:0]),
.HPROT (HPROT[3:0]),
.HMASTER (HMASTER),
.HMASTLOCK (HMASTLOCK),
.HWRITE (HWRITE),
.HWDATA (HWDATA[31:0]),
.HRDATA (HRDATA[31:0]),
.HREADY (HREADY),
.HRESP (HRESP),
.CODEHINTDE (CODEHINTDE),
.SPECHTRANS (SPECHTRANS),
.CODENSEQ (CODENSEQ),
// Interrupts
.IRQ (IRQ[31:0]),
.NMI (NMI),
.IRQLATENCY (IRQLATENCY),
.ECOREVNUM (ECOREVNUM),
// Systick
.STCLKEN (STCLKEN),
.STCALIB (STCALIB),
// Debug - JTAG or Serial wire
// Inputs
.nTRST (nTRST),
.SWDITMS (SWDITMS),
.SWCLKTCK (SWCLKTCK),
.TDI (TDI),
// Outputs
.TDO (TDO),
.nTDOEN (nTDOEN),
.SWDO (SWDO),
.SWDOEN (SWDOEN),
.DBGRESTART (DBGRESTART),
.DBGRESTARTED (DBGRESTARTED),
// Event communication
.TXEV (TXEV),
.RXEV (RXEV),
.EDBGRQ (EDBGRQ),
// Status output
.HALTED (HALTED),
.LOCKUP (LOCKUP),
.SLEEPING (SLEEPING),
.SLEEPDEEP (SLEEPDEEP),
.vis_r0_o (cm0_r00[31:0]),
.vis_r1_o (cm0_r01[31:0]),
.vis_r2_o (cm0_r02[31:0]),
.vis_r3_o (cm0_r03[31:0]),
.vis_r4_o (cm0_r04[31:0]),
.vis_r5_o (cm0_r05[31:0]),
.vis_r6_o (cm0_r06[31:0]),
.vis_r7_o (cm0_r07[31:0]),
.vis_r8_o (cm0_r08[31:0]),
.vis_r9_o (cm0_r09[31:0]),
.vis_r10_o (cm0_r10[31:0]),
.vis_r11_o (cm0_r11[31:0]),
.vis_r12_o (cm0_r12[31:0]),
.vis_msp_o (vis_msp[29:0]),
.vis_psp_o (vis_psp[29:0]),
.vis_r14_o (cm0_r14[31:0]),
.vis_pc_o (vis_pc[30:0]),
.vis_apsr_o (vis_apsr[3:0]),
.vis_tbit_o (vis_tbit),
.vis_ipsr_o (vis_ipsr[5:0]),
.vis_control_o (vis_control),
.vis_primask_o (vis_primask)
);
//------------------------------------------------------------------------------
// Construct some visibility signals out of intermediate signals
//------------------------------------------------------------------------------
assign cm0_msp = {vis_msp[29:0],2'd0};
assign cm0_psp = {vis_psp[29:0],2'd0};
assign cm0_pc = {vis_pc[30:0],1'b0};
assign cm0_xpsr = {vis_apsr[3:0],3'd0,vis_tbit,18'd0,vis_ipsr[5:0]};
assign cm0_control = {30'd0,vis_control,1'b0};
assign cm0_primask = {31'd0,vis_primask};
endmodule
module UART_TX(
input wire clk,
input wire resetn,
input wire tx_start,
input wire b_tick, //baud rate tick
input wire [7:0] d_in, //input data
output reg tx_done, //transfer finished
output wire tx //output data to RS-232
);
//STATE DEFINES
localparam [1:0] idle_st = 2'b00;
localparam [1:0] start_st = 2'b01;
localparam [1:0] data_st = 2'b11;
localparam [1:0] stop_st = 2'b10;
//Internal Signals
reg [1:0] current_state;
reg [1:0] next_state;
reg [3:0] b_reg; //baud tick counter
reg [3:0] b_next;
reg [2:0] count_reg; //data bit counter
reg [2:0] count_next;
reg [7:0] data_reg; //data register
reg [7:0] data_next;
reg tx_reg; //output data reg
reg tx_next;
//State Machine
always @(posedge clk, negedge resetn)
begin
if(!resetn)
begin
current_state <= idle_st;
b_reg <= 0;
count_reg <= 0;
data_reg <= 0;
tx_reg <= 1'b1;
end
else
begin
current_state <= next_state;
b_reg <= b_next;
count_reg <= count_next;
data_reg <= data_next;
tx_reg <= tx_next;
end
end
//Next State Logic
always @*
begin
next_state = current_state;
tx_done = 1'b0;
b_next = b_reg;
count_next = count_reg;
data_next = data_reg;
tx_next = tx_reg;
case(current_state)
idle_st:
begin
tx_next = 1'b1;
if(tx_start)
begin
next_state = start_st;
b_next = 0;
data_next = d_in;
end
end
start_st: //send start bit
begin
tx_next = 1'b0;
if(b_tick)
if(b_reg==15)
begin
next_state = data_st;
b_next = 0;
count_next = 0;
end
else
b_next = b_reg + 1;
end
data_st: //send data serially
begin
tx_next = data_reg[0];
if(b_tick)
if(b_reg == 15)
begin
b_next = 0;
data_next = data_reg >> 1;
if(count_reg == 7) //8 data bits
next_state = stop_st;
else
count_next = count_reg + 1;
end
else
b_next = b_reg + 1;
end
stop_st: //send stop bit
begin
tx_next = 1'b1;
if(b_tick)
if(b_reg == 15) //one stop bit
begin
next_state = idle_st;
tx_done = 1'b1;
end
else
b_next = b_reg + 1;
end
endcase
end
assign tx = tx_reg;
endmodule
module FIFO #(parameter DWIDTH=8, AWIDTH=1)
(
input wire clk,
input wire resetn,
input wire rd,
input wire wr,
input wire [DWIDTH-1:0] w_data,
output wire empty,
output wire full,
output wire [DWIDTH-1:0] r_data
);
//Internal Signal declarations
reg [DWIDTH-1:0] array_reg [2**AWIDTH-1:0];
reg [AWIDTH-1:0] w_ptr_reg;
reg [AWIDTH-1:0] w_ptr_next;
reg [AWIDTH-1:0] w_ptr_succ;
reg [AWIDTH-1:0] r_ptr_reg;
reg [AWIDTH-1:0] r_ptr_next;
reg [AWIDTH-1:0] r_ptr_succ;
reg full_reg;
reg empty_reg;
reg full_next;
reg empty_next;
wire w_en;
always @ (posedge clk)
if(w_en)
begin
array_reg[w_ptr_reg] <= w_data;
end
assign r_data = array_reg[r_ptr_reg];
assign w_en = wr & ~full_reg;
//State Machine
always @ (posedge clk, negedge resetn)
begin
if(!resetn)
begin
w_ptr_reg <= 0;
r_ptr_reg <= 0;
full_reg <= 1'b0;
empty_reg <= 1'b1;
end
else
begin
w_ptr_reg <= w_ptr_next;
r_ptr_reg <= r_ptr_next;
full_reg <= full_next;
empty_reg <= empty_next;
end
end
//Next State Logic
always @*
begin
w_ptr_succ = w_ptr_reg + 1;
r_ptr_succ = r_ptr_reg + 1;
w_ptr_next = w_ptr_reg;
r_ptr_next = r_ptr_reg;
full_next = full_reg;
empty_next = empty_reg;
case({w_en,rd})
//2'b00: nop
2'b01:
if(~empty_reg)
begin
r_ptr_next = r_ptr_succ;
full_next = 1'b0;
if (r_ptr_succ == w_ptr_reg)
empty_next = 1'b1;
end
2'b10:
if(~full_reg)
begin
w_ptr_next = w_ptr_succ;
empty_next = 1'b0;
if (w_ptr_succ == r_ptr_reg)
full_next = 1'b1;
end
2'b11:
begin
w_ptr_next = w_ptr_succ;
r_ptr_next = r_ptr_succ;
end
endcase
end
//Set Full and Empty
assign full = full_reg;
assign empty = empty_reg;
endmodule
module BAUDGEN
(
input wire clk,
input wire resetn,
output wire baudtick
);
reg [21:0] count_reg;
wire [21:0] count_next;
//Counter
always @ (posedge clk, negedge resetn)
begin
if(!resetn)
count_reg <= 0;
else
count_reg <= count_next;
end
//Baudrate = 19200 = 50Mhz/(163*16)
assign count_next = ((count_reg == 162) ? 0 : count_reg + 1'b1);
assign baudtick = ((count_reg == 162) ? 1'b1 : 1'b0);
endmodule
module AHBUART(
//AHB Signals
input wire HCLK,
input wire HRESETn,
input wire [31:0] HADDR,
input wire [1:0] HTRANS,
input wire [31:0] HWDATA,
input wire HWRITE,
input wire HREADY,
output wire HREADYOUT,
output wire [31:0] HRDATA,
input wire HSEL,
//Serial Port Signals
input wire RsRx, //Input from RS-232
output wire RsTx, //Output to RS-232
//UART Interrupt
output wire uart_irq //Interrupt
);
//Internal Signals
//Data I/O between AHB and FIFO
wire [7:0] uart_wdata;
wire [7:0] uart_rdata;
//Signals from TX/RX to FIFOs
wire uart_wr;
wire uart_rd;
//wires between FIFO and TX/RX
wire [7:0] tx_data;
wire [7:0] rx_data;
wire [7:0] status;
//FIFO Status
wire tx_full;
wire tx_empty;
wire rx_full;
wire rx_empty;
//UART status ticks
wire tx_done;
wire rx_done;
//baud rate signal
wire b_tick;
//AHB Regs
reg [1:0] last_HTRANS;
reg [31:0] last_HADDR;
reg last_HWRITE;
reg last_HSEL;
//Set Registers for AHB Address State
always@ (posedge HCLK)
begin
if(HREADY)
begin
last_HTRANS <= HTRANS;
last_HWRITE <= HWRITE;
last_HSEL <= HSEL;
last_HADDR <= HADDR;
end
end
//If Read and FIFO_RX is empty - wait.
assign HREADYOUT = ~tx_full;
//UART write select
assign uart_wr = last_HTRANS[1] & last_HWRITE & last_HSEL& (last_HADDR[7:0]==8'h00);
//Only write last 8 bits of Data
assign uart_wdata = HWDATA[7:0];
//UART read select
assign uart_rd = last_HTRANS[1] & ~last_HWRITE & last_HSEL & (last_HADDR[7:0]==8'h00);
assign HRDATA = (last_HADDR[7:0]==8'h00) ? {24'h0000_00,uart_rdata}:{24'h0000_00,status};
assign status = {6'b000000,tx_full,rx_empty};
assign uart_irq = ~rx_empty;
//generate a fixed baud rate 19200bps
BAUDGEN uBAUDGEN(
.clk(HCLK),
.resetn(HRESETn),
.baudtick(b_tick)
);
//Transmitter FIFO
FIFO
#(.DWIDTH(8), .AWIDTH(4))
uFIFO_TX
(
.clk(HCLK),
.resetn(HRESETn),
.rd(tx_done),
.wr(uart_wr),
.w_data(uart_wdata[7:0]),
.empty(tx_empty),
.full(tx_full),
.r_data(tx_data[7:0])
);
//Receiver FIFO
FIFO
#(.DWIDTH(8), .AWIDTH(4))
uFIFO_RX(
.clk(HCLK),
.resetn(HRESETn),
.rd(uart_rd),
.wr(rx_done),
.w_data(rx_data[7:0]),
.empty(rx_empty),
.full(rx_full),
.r_data(uart_rdata[7:0])
);
//UART receiver
UART_RX uUART_RX(
.clk(HCLK),
.resetn(HRESETn),
.b_tick(b_tick),
.rx(RsRx),
.rx_done(rx_done),
.dout(rx_data[7:0])
);
//UART transmitter
UART_TX uUART_TX(
.clk(HCLK),
.resetn(HRESETn),
.tx_start(!tx_empty),
.b_tick(b_tick),
.d_in(tx_data[7:0]),
.tx_done(tx_done),
.tx(RsTx)
);
endmodule
module AHBVGA(
input wire HCLK,
input wire HRESETn,
input wire [31:0] HADDR,
input wire [31:0] HWDATA,
input wire HREADY,
input wire HWRITE,
input wire [1:0] HTRANS,
input wire HSEL,
output wire [31:0] HRDATA,
output wire HREADYOUT,
output wire hsync,
output wire vsync,
output wire [7:0] rgb
);
//Register locations
localparam IMAGEADDR = 4'hA;
localparam CONSOLEADDR = 4'h0;
//Internal AHB signals
reg last_HWRITE;
reg last_HSEL;
reg [1:0] last_HTRANS;
reg [31:0] last_HADDR;
wire [7:0] console_rgb; //console rgb signal
wire [9:0] pixel_x; //current x pixel
wire [9:0] pixel_y; //current y pixel
reg console_write; //write to console
reg [7:0] console_wdata;//data to write to console
reg image_write; //write to image
reg [7:0] image_wdata; //data to write to image
wire [7:0] image_rgb; //image color
wire scroll; //scrolling signal
wire sel_console;
wire sel_image;
reg [7:0] cin;
always @(posedge HCLK)
if(HREADY)
begin
last_HADDR <= HADDR;
last_HWRITE <= HWRITE;
last_HSEL <= HSEL;
last_HTRANS <= HTRANS;
end
//Give time for the screen to refresh before writing
assign HREADYOUT = ~scroll;
//VGA interface: control the synchronization and color signals for a particular resolution
VGAInterface uVGAInterface (
.CLK(HCLK),
.COLOUR_IN(cin),
.cout(rgb),
.hs(hsync),
.vs(vsync),
.addrh(pixel_x),
.addrv(pixel_y)
);
//VGA console module: output the pixels in the text region
vga_console uvga_console(
.clk(HCLK),
.resetn(HRESETn),
.pixel_x(pixel_x),
.pixel_y(pixel_y),
.text_rgb(console_rgb),
.font_we(console_write),
.font_data(console_wdata),
.scroll(scroll)
);
//VGA image buffer: output the pixels in the image region
vga_image uvga_image(
.clk(HCLK),
.resetn(HRESETn),
.address(last_HADDR[15:2]),
.pixel_x(pixel_x),
.pixel_y(pixel_y),
.image_we(image_write),
.image_data(image_wdata),
.image_rgb(image_rgb)
);
assign sel_console = (last_HADDR[23:0]== 12'h000000000000);
assign sel_image = (last_HADDR[23:0] != 12'h000000000000);
//Set console write and write data
always @(posedge HCLK, negedge HRESETn)
begin
if(!HRESETn)
begin
console_write <= 0;
console_wdata <= 0;
end
else if(last_HWRITE & last_HSEL & last_HTRANS[1] & HREADYOUT & sel_console)
begin
console_write <= 1'b1;
console_wdata <= HWDATA[7:0];
end
else
begin
console_write <= 1'b0;
console_wdata <= 0;
end
end
//Set image write and image write data
always @(posedge HCLK, negedge HRESETn)
begin
if(!HRESETn)
begin
image_write <= 0;
image_wdata <= 0;
end
else if(last_HWRITE & last_HSEL & last_HTRANS[1] & HREADYOUT & sel_image)
begin
image_write <= 1'b1;
image_wdata <= HWDATA[7:0];
end
else
begin
image_write <= 1'b0;
image_wdata <= 0;
end
end
//Select the rgb color for a particular region
always @*
begin
if(!HRESETn)
cin <= 8'h00;
else
if(pixel_x[9:0]< 240 )
cin <= console_rgb ;
else
cin <= image_rgb;
end
endmodule
`timescale 1ns / 1ps
module GenericCounter(
CLK,
RESET,
ENABLE_IN,
TRIG_OUT,
COUNT
);
parameter COUNTER_WIDTH=4;
parameter COUNTER_MAX=4;
input CLK;
input RESET;
input ENABLE_IN;
output TRIG_OUT;
output [COUNTER_WIDTH-1:0] COUNT;
reg [COUNTER_WIDTH-1:0] counter;
reg triggerout;
always@(posedge CLK)begin
if (RESET)
counter<=0;
else begin
if (ENABLE_IN) begin
if (counter==(COUNTER_MAX))
counter<=0;
else
counter<=counter+1;
end
end
end
always@(posedge CLK)begin
if (RESET)
triggerout<=0;
else begin
if (ENABLE_IN && (counter==(COUNTER_MAX)))
triggerout<=1;
else
triggerout<=0;
end
end
assign COUNT=counter;
assign TRIG_OUT=triggerout;
endmodule
module dual_port_ram_sync
#(
parameter ADDR_WIDTH = 6,
parameter DATA_WIDTH = 8
)
(
input wire clk,
input wire reset_n,
input wire we,
input wire [ADDR_WIDTH-1:0] addr_a,
input wire [ADDR_WIDTH-1:0] addr_b,
input wire [DATA_WIDTH-1:0] din_a,
output wire [DATA_WIDTH-1:0] dout_a,
output wire [DATA_WIDTH-1:0] dout_b
);
reg [DATA_WIDTH-1:0] ram [2**ADDR_WIDTH-1:0];
reg [ADDR_WIDTH-1:0] addr_a_reg;
reg [ADDR_WIDTH-1:0] addr_b_reg;
reg [ADDR_WIDTH:0] reset_addr;
reg [1:0] reset_n_buf;
always @ (posedge clk)
begin
// Clear each data when reset
reset_n_buf = {reset_n_buf[0], reset_n};
if (reset_n_buf == 2'b10)
begin
reset_addr <= 0;
end
else if ((!we) && (reset_addr[ADDR_WIDTH] == 1'b0))
begin
reset_addr <= reset_addr + 1;
end
if (we || (reset_addr[ADDR_WIDTH] == 1'b0))
ram[we ? addr_a : reset_addr] <= we ? din_a : {DATA_WIDTH{1'b0}};
addr_a_reg <= addr_a;
addr_b_reg <= addr_b;
end
assign dout_a = ram[addr_a_reg];
assign dout_b = ram[addr_b_reg];
endmodule
module font_rom
(
input wire clk,
input wire [10:0] addr,
output reg [7:0] data
);
// signal declaration
reg [10:0] addr_reg;
// body
always @(posedge clk)
addr_reg <= addr;
always @*
case (addr_reg)
//code x00
11'h000: data = 8'b00000000; //
11'h001: data = 8'b00000000; //
11'h002: data = 8'b00000000; //
11'h003: data = 8'b00000000; //
11'h004: data = 8'b00000000; //
11'h005: data = 8'b00000000; //
11'h006: data = 8'b00000000; //
11'h007: data = 8'b00000000; //
11'h008: data = 8'b00000000; //
11'h009: data = 8'b00000000; //
11'h00a: data = 8'b00000000; //
11'h00b: data = 8'b00000000; //
11'h00c: data = 8'b00000000; //
11'h00d: data = 8'b00000000; //
11'h00e: data = 8'b00000000; //
11'h00f: data = 8'b00000000; //
//code x01
11'h010: data = 8'b00000000; //
11'h011: data = 8'b00000000; //
11'h012: data = 8'b01111110; // ******
11'h013: data = 8'b10000001; // * *
11'h014: data = 8'b10100101; // * * * *
11'h015: data = 8'b10000001; // * *
11'h016: data = 8'b10000001; // * *
11'h017: data = 8'b10111101; // * **** *
11'h018: data = 8'b10011001; // * ** *
11'h019: data = 8'b10000001; // * *
11'h01a: data = 8'b10000001; // * *
11'h01b: data = 8'b01111110; // ******
11'h01c: data = 8'b00000000; //
11'h01d: data = 8'b00000000; //
11'h01e: data = 8'b00000000; //
11'h01f: data = 8'b00000000; //
//code x02
11'h020: data = 8'b00000000; //
11'h021: data = 8'b00000000; //
11'h022: data = 8'b01111110; // ******
11'h023: data = 8'b11111111; // ********
11'h024: data = 8'b11011011; // ** ** **
11'h025: data = 8'b11111111; // ********
11'h026: data = 8'b11111111; // ********
11'h027: data = 8'b11000011; // ** **
11'h028: data = 8'b11100111; // *** ***
11'h029: data = 8'b11111111; // ********
11'h02a: data = 8'b11111111; // ********
11'h02b: data = 8'b01111110; // ******
11'h02c: data = 8'b00000000; //
11'h02d: data = 8'b00000000; //
11'h02e: data = 8'b00000000; //
11'h02f: data = 8'b00000000; //
//code x03
11'h030: data = 8'b00000000; //
11'h031: data = 8'b00000000; //
11'h032: data = 8'b00000000; //
11'h033: data = 8'b00000000; //
11'h034: data = 8'b01101100; // ** **
11'h035: data = 8'b11111110; // *******
11'h036: data = 8'b11111110; // *******
11'h037: data = 8'b11111110; // *******
11'h038: data = 8'b11111110; // *******
11'h039: data = 8'b01111100; // *****
11'h03a: data = 8'b00111000; // ***
11'h03b: data = 8'b00010000; // *
11'h03c: data = 8'b00000000; //
11'h03d: data = 8'b00000000; //
11'h03e: data = 8'b00000000; //
11'h03f: data = 8'b00000000; //
//code x04
11'h040: data = 8'b00000000; //
11'h041: data = 8'b00000000; //
11'h042: data = 8'b00000000; //
11'h043: data = 8'b00000000; //
11'h044: data = 8'b00010000; // *
11'h045: data = 8'b00111000; // ***
11'h046: data = 8'b01111100; // *****
11'h047: data = 8'b11111110; // *******
11'h048: data = 8'b01111100; // *****
11'h049: data = 8'b00111000; // ***
11'h04a: data = 8'b00010000; // *
11'h04b: data = 8'b00000000; //
11'h04c: data = 8'b00000000; //
11'h04d: data = 8'b00000000; //
11'h04e: data = 8'b00000000; //
11'h04f: data = 8'b00000000; //
//code x05
11'h050: data = 8'b00000000; //
11'h051: data = 8'b00000000; //
11'h052: data = 8'b00000000; //
11'h053: data = 8'b00011000; // **
11'h054: data = 8'b00111100; // ****
11'h055: data = 8'b00111100; // ****
11'h056: data = 8'b11100111; // *** ***
11'h057: data = 8'b11100111; // *** ***
11'h058: data = 8'b11100111; // *** ***
11'h059: data = 8'b00011000; // **
11'h05a: data = 8'b00011000; // **
11'h05b: data = 8'b00111100; // ****
11'h05c: data = 8'b00000000; //
11'h05d: data = 8'b00000000; //
11'h05e: data = 8'b00000000; //
11'h05f: data = 8'b00000000; //
//code x06
11'h060: data = 8'b00000000; //
11'h061: data = 8'b00000000; //
11'h062: data = 8'b00000000; //
11'h063: data = 8'b00011000; // **
11'h064: data = 8'b00111100; // ****
11'h065: data = 8'b01111110; // ******
11'h066: data = 8'b11111111; // ********
11'h067: data = 8'b11111111; // ********
11'h068: data = 8'b01111110; // ******
11'h069: data = 8'b00011000; // **
11'h06a: data = 8'b00011000; // **
11'h06b: data = 8'b00111100; // ****
11'h06c: data = 8'b00000000; //
11'h06d: data = 8'b00000000; //
11'h06e: data = 8'b00000000; //
11'h06f: data = 8'b00000000; //
//code x07
11'h070: data = 8'b00000000; //
11'h071: data = 8'b00000000; //
11'h072: data = 8'b00000000; //
11'h073: data = 8'b00000000; //
11'h074: data = 8'b00000000; //
11'h075: data = 8'b00000000; //
11'h076: data = 8'b00011000; // **
11'h077: data = 8'b00111100; // ****
11'h078: data = 8'b00111100; // ****
11'h079: data = 8'b00011000; // **
11'h07a: data = 8'b00000000; //
11'h07b: data = 8'b00000000; //
11'h07c: data = 8'b00000000; //
11'h07d: data = 8'b00000000; //
11'h07e: data = 8'b00000000; //
11'h07f: data = 8'b00000000; //
//code x08
11'h080: data = 8'b00000000; //
11'h081: data = 8'b00000000; //
11'h082: data = 8'b00000000; //
11'h083: data = 8'b00000000; //
11'h084: data = 8'b00000000; //
11'h085: data = 8'b00000000; //
11'h086: data = 8'b00000000; //
11'h087: data = 8'b00000000; //
11'h088: data = 8'b00000000; //
11'h089: data = 8'b00000000; //
11'h08a: data = 8'b00000000; //
11'h08b: data = 8'b00000000; //
11'h08c: data = 8'b00000000; //
11'h08d: data = 8'b00000000; //
11'h08e: data = 8'b00000000; //
11'h08f: data = 8'b00000000; //
//code x09
11'h090: data = 8'b00000000; //
11'h091: data = 8'b00000000; //
11'h092: data = 8'b00000000; //
11'h093: data = 8'b00000000; //
11'h094: data = 8'b00000000; //
11'h095: data = 8'b00111100; // ****
11'h096: data = 8'b01100110; // ** **
11'h097: data = 8'b01000010; // * *
11'h098: data = 8'b01000010; // * *
11'h099: data = 8'b01100110; // ** **
11'h09a: data = 8'b00111100; // ****
11'h09b: data = 8'b00000000; //
11'h09c: data = 8'b00000000; //
11'h09d: data = 8'b00000000; //
11'h09e: data = 8'b00000000; //
11'h09f: data = 8'b00000000; //
//code x0a
11'h0a0: data = 8'b00000000; //
11'h0a1: data = 8'b00000000; //
11'h0a2: data = 8'b00000000; //
11'h0a3: data = 8'b00000000; //
11'h0a4: data = 8'b00000000; //
11'h0a5: data = 8'b00000000; //
11'h0a6: data = 8'b00000000; //
11'h0a7: data = 8'b00000000; //
11'h0a8: data = 8'b00000000; //
11'h0a9: data = 8'b00000000; //
11'h0aa: data = 8'b00000000; //
11'h0ab: data = 8'b00000000; //
11'h0ac: data = 8'b00000000; //
11'h0ad: data = 8'b00000000; //
11'h0ae: data = 8'b00000000; //
11'h0af: data = 8'b00000000; //
//code x0b
11'h0b0: data = 8'b00000000; //
11'h0b1: data = 8'b00000000; //
11'h0b2: data = 8'b00011110; // ****
11'h0b3: data = 8'b00001110; // ***
11'h0b4: data = 8'b00011010; // ** *
11'h0b5: data = 8'b00110010; // ** *
11'h0b6: data = 8'b01111000; // ****
11'h0b7: data = 8'b11001100; // ** **
11'h0b8: data = 8'b11001100; // ** **
11'h0b9: data = 8'b11001100; // ** **
11'h0ba: data = 8'b11001100; // ** **
11'h0bb: data = 8'b01111000; // ****
11'h0bc: data = 8'b00000000; //
11'h0bd: data = 8'b00000000; //
11'h0be: data = 8'b00000000; //
11'h0bf: data = 8'b00000000; //
//code x0c
11'h0c0: data = 8'b00000000; //
11'h0c1: data = 8'b00000000; //
11'h0c2: data = 8'b00111100; // ****
11'h0c3: data = 8'b01100110; // ** **
11'h0c4: data = 8'b01100110; // ** **
11'h0c5: data = 8'b01100110; // ** **
11'h0c6: data = 8'b01100110; // ** **
11'h0c7: data = 8'b00111100; // ****
11'h0c8: data = 8'b00011000; // **
11'h0c9: data = 8'b01111110; // ******
11'h0ca: data = 8'b00011000; // **
11'h0cb: data = 8'b00011000; // **
11'h0cc: data = 8'b00000000; //
11'h0cd: data = 8'b00000000; //
11'h0ce: data = 8'b00000000; //
11'h0cf: data = 8'b00000000; //
//code x0d
11'h0d0: data = 8'b00000000; //
11'h0d1: data = 8'b00000000; //
11'h0d2: data = 8'b00000000; //
11'h0d3: data = 8'b00000000; //
11'h0d4: data = 8'b00000000; //
11'h0d5: data = 8'b00000000; //
11'h0d6: data = 8'b00000000; //
11'h0d7: data = 8'b00000000; //
11'h0d8: data = 8'b00000000; //
11'h0d9: data = 8'b00000000; //
11'h0da: data = 8'b00000000; //
11'h0db: data = 8'b00000000; //
11'h0dc: data = 8'b00000000; //
11'h0dd: data = 8'b00000000; //
11'h0de: data = 8'b00000000; //
11'h0df: data = 8'b00000000; //
//code x0e
11'h0e0: data = 8'b00000000; //
11'h0e1: data = 8'b00000000; //
11'h0e2: data = 8'b01111111; // *******
11'h0e3: data = 8'b01100011; // ** **
11'h0e4: data = 8'b01111111; // *******
11'h0e5: data = 8'b01100011; // ** **
11'h0e6: data = 8'b01100011; // ** **
11'h0e7: data = 8'b01100011; // ** **
11'h0e8: data = 8'b01100011; // ** **
11'h0e9: data = 8'b01100111; // ** ***
11'h0ea: data = 8'b11100111; // *** ***
11'h0eb: data = 8'b11100110; // *** **
11'h0ec: data = 8'b11000000; // **
11'h0ed: data = 8'b00000000; //
11'h0ee: data = 8'b00000000; //
11'h0ef: data = 8'b00000000; //
//code x0f
11'h0f0: data = 8'b00000000; //
11'h0f1: data = 8'b00000000; //
11'h0f2: data = 8'b00000000; //
11'h0f3: data = 8'b00011000; // **
11'h0f4: data = 8'b00011000; // **
11'h0f5: data = 8'b11011011; // ** ** **
11'h0f6: data = 8'b00111100; // ****
11'h0f7: data = 8'b11100111; // *** ***
11'h0f8: data = 8'b00111100; // ****
11'h0f9: data = 8'b11011011; // ** ** **
11'h0fa: data = 8'b00011000; // **
11'h0fb: data = 8'b00011000; // **
11'h0fc: data = 8'b00000000; //
11'h0fd: data = 8'b00000000; //
11'h0fe: data = 8'b00000000; //
11'h0ff: data = 8'b00000000; //
//code x10
11'h100: data = 8'b00000000; //
11'h101: data = 8'b10000000; // *
11'h102: data = 8'b11000000; // **
11'h103: data = 8'b11100000; // ***
11'h104: data = 8'b11110000; // ****
11'h105: data = 8'b11111000; // *****
11'h106: data = 8'b11111110; // *******
11'h107: data = 8'b11111000; // *****
11'h108: data = 8'b11110000; // ****
11'h109: data = 8'b11100000; // ***
11'h10a: data = 8'b11000000; // **
11'h10b: data = 8'b10000000; // *
11'h10c: data = 8'b00000000; //
11'h10d: data = 8'b00000000; //
11'h10e: data = 8'b00000000; //
11'h10f: data = 8'b00000000; //
//code x11
11'h110: data = 8'b00000000; //
11'h111: data = 8'b00000010; // *
11'h112: data = 8'b00000110; // **
11'h113: data = 8'b00001110; // ***
11'h114: data = 8'b00011110; // ****
11'h115: data = 8'b00111110; // *****
11'h116: data = 8'b11111110; // *******
11'h117: data = 8'b00111110; // *****
11'h118: data = 8'b00011110; // ****
11'h119: data = 8'b00001110; // ***
11'h11a: data = 8'b00000110; // **
11'h11b: data = 8'b00000010; // *
11'h11c: data = 8'b00000000; //
11'h11d: data = 8'b00000000; //
11'h11e: data = 8'b00000000; //
11'h11f: data = 8'b00000000; //
//code x12
11'h120: data = 8'b00000000; //
11'h121: data = 8'b00000000; //
11'h122: data = 8'b00011000; // **
11'h123: data = 8'b00111100; // ****
11'h124: data = 8'b01111110; // ******
11'h125: data = 8'b00011000; // **
11'h126: data = 8'b00011000; // **
11'h127: data = 8'b00011000; // **
11'h128: data = 8'b01111110; // ******
11'h129: data = 8'b00111100; // ****
11'h12a: data = 8'b00011000; // **
11'h12b: data = 8'b00000000; //
11'h12c: data = 8'b00000000; //
11'h12d: data = 8'b00000000; //
11'h12e: data = 8'b00000000; //
11'h12f: data = 8'b00000000; //
//code x13
11'h130: data = 8'b00000000; //
11'h131: data = 8'b00000000; //
11'h132: data = 8'b01100110; // ** **
11'h133: data = 8'b01100110; // ** **
11'h134: data = 8'b01100110; // ** **
11'h135: data = 8'b01100110; // ** **
11'h136: data = 8'b01100110; // ** **
11'h137: data = 8'b01100110; // ** **
11'h138: data = 8'b01100110; // ** **
11'h139: data = 8'b00000000; //
11'h13a: data = 8'b01100110; // ** **
11'h13b: data = 8'b01100110; // ** **
11'h13c: data = 8'b00000000; //
11'h13d: data = 8'b00000000; //
11'h13e: data = 8'b00000000; //
11'h13f: data = 8'b00000000; //
//code x14
11'h140: data = 8'b00000000; //
11'h141: data = 8'b00000000; //
11'h142: data = 8'b01111111; // *******
11'h143: data = 8'b11011011; // ** ** **
11'h144: data = 8'b11011011; // ** ** **
11'h145: data = 8'b11011011; // ** ** **
11'h146: data = 8'b01111011; // **** **
11'h147: data = 8'b00011011; // ** **
11'h148: data = 8'b00011011; // ** **
11'h149: data = 8'b00011011; // ** **
11'h14a: data = 8'b00011011; // ** **
11'h14b: data = 8'b00011011; // ** **
11'h14c: data = 8'b00000000; //
11'h14d: data = 8'b00000000; //
11'h14e: data = 8'b00000000; //
11'h14f: data = 8'b00000000; //
//code x15
11'h150: data = 8'b00000000; //
11'h151: data = 8'b01111100; // *****
11'h152: data = 8'b11000110; // ** **
11'h153: data = 8'b01100000; // **
11'h154: data = 8'b00111000; // ***
11'h155: data = 8'b01101100; // ** **
11'h156: data = 8'b11000110; // ** **
11'h157: data = 8'b11000110; // ** **
11'h158: data = 8'b01101100; // ** **
11'h159: data = 8'b00111000; // ***
11'h15a: data = 8'b00001100; // **
11'h15b: data = 8'b11000110; // ** **
11'h15c: data = 8'b01111100; // *****
11'h15d: data = 8'b00000000; //
11'h15e: data = 8'b00000000; //
11'h15f: data = 8'b00000000; //
//code x16
11'h160: data = 8'b00000000; //
11'h161: data = 8'b00000000; //
11'h162: data = 8'b00000000; //
11'h163: data = 8'b00000000; //
11'h164: data = 8'b00000000; //
11'h165: data = 8'b00000000; //
11'h166: data = 8'b00000000; //
11'h167: data = 8'b00000000; //
11'h168: data = 8'b11111110; // *******
11'h169: data = 8'b11111110; // *******
11'h16a: data = 8'b11111110; // *******
11'h16b: data = 8'b11111110; // *******
11'h16c: data = 8'b00000000; //
11'h16d: data = 8'b00000000; //
11'h16e: data = 8'b00000000; //
11'h16f: data = 8'b00000000; //
//code x17
11'h170: data = 8'b00000000; //
11'h171: data = 8'b00000000; //
11'h172: data = 8'b00011000; // **
11'h173: data = 8'b00111100; // ****
11'h174: data = 8'b01111110; // ******
11'h175: data = 8'b00011000; // **
11'h176: data = 8'b00011000; // **
11'h177: data = 8'b00011000; // **
11'h178: data = 8'b01111110; // ******
11'h179: data = 8'b00111100; // ****
11'h17a: data = 8'b00011000; // **
11'h17b: data = 8'b01111110; // ******
11'h17c: data = 8'b00110000; //
11'h17d: data = 8'b00000000; //
11'h17e: data = 8'b00000000; //
11'h17f: data = 8'b00000000; //
//code x18
11'h180: data = 8'b00000000; //
11'h181: data = 8'b00000000; //
11'h182: data = 8'b00011000; // **
11'h183: data = 8'b00111100; // ****
11'h184: data = 8'b01111110; // ******
11'h185: data = 8'b00011000; // **
11'h186: data = 8'b00011000; // **
11'h187: data = 8'b00011000; // **
11'h188: data = 8'b00011000; // **
11'h189: data = 8'b00011000; // **
11'h18a: data = 8'b00011000; // **
11'h18b: data = 8'b00011000; // **
11'h18c: data = 8'b00000000; //
11'h18d: data = 8'b00000000; //
11'h18e: data = 8'b00000000; //
11'h18f: data = 8'b00000000; //
//code x19
11'h190: data = 8'b00000000; //
11'h191: data = 8'b00000000; //
11'h192: data = 8'b00011000; // **
11'h193: data = 8'b00011000; // **
11'h194: data = 8'b00011000; // **
11'h195: data = 8'b00011000; // **
11'h196: data = 8'b00011000; // **
11'h197: data = 8'b00011000; // **
11'h198: data = 8'b00011000; // **
11'h199: data = 8'b01111110; // ******
11'h19a: data = 8'b00111100; // ****
11'h19b: data = 8'b00011000; // **
11'h19c: data = 8'b00000000; //
11'h19d: data = 8'b00000000; //
11'h19e: data = 8'b00000000; //
11'h19f: data = 8'b00000000; //
//code x1a
11'h1a0: data = 8'b00000000; //
11'h1a1: data = 8'b00000000; //
11'h1a2: data = 8'b00000000; //
11'h1a3: data = 8'b00000000; //
11'h1a4: data = 8'b00000000; //
11'h1a5: data = 8'b00011000; // **
11'h1a6: data = 8'b00001100; // **
11'h1a7: data = 8'b11111110; // *******
11'h1a8: data = 8'b00001100; // **
11'h1a9: data = 8'b00011000; // **
11'h1aa: data = 8'b00000000; //
11'h1ab: data = 8'b00000000; //
11'h1ac: data = 8'b00000000; //
11'h1ad: data = 8'b00000000; //
11'h1ae: data = 8'b00000000; //
11'h1af: data = 8'b00000000; //
//code x1b
11'h1b0: data = 8'b00000000; //
11'h1b1: data = 8'b00000000; //
11'h1b2: data = 8'b00000000; //
11'h1b3: data = 8'b00000000; //
11'h1b4: data = 8'b00000000; //
11'h1b5: data = 8'b00110000; // **
11'h1b6: data = 8'b01100000; // **
11'h1b7: data = 8'b11111110; // *******
11'h1b8: data = 8'b01100000; // **
11'h1b9: data = 8'b00110000; // **
11'h1ba: data = 8'b00000000; //
11'h1bb: data = 8'b00000000; //
11'h1bc: data = 8'b00000000; //
11'h1bd: data = 8'b00000000; //
11'h1be: data = 8'b00000000; //
11'h1bf: data = 8'b00000000; //
//code x1c
11'h1c0: data = 8'b00000000; //
11'h1c1: data = 8'b00000000; //
11'h1c2: data = 8'b00000000; //
11'h1c3: data = 8'b00000000; //
11'h1c4: data = 8'b00000000; //
11'h1c5: data = 8'b00000000; //
11'h1c6: data = 8'b11000000; // **
11'h1c7: data = 8'b11000000; // **
11'h1c8: data = 8'b11000000; // **
11'h1c9: data = 8'b11111110; // *******
11'h1ca: data = 8'b00000000; //
11'h1cb: data = 8'b00000000; //
11'h1cc: data = 8'b00000000; //
11'h1cd: data = 8'b00000000; //
11'h1ce: data = 8'b00000000; //
11'h1cf: data = 8'b00000000; //
//code x1d
11'h1d0: data = 8'b00000000; //
11'h1d1: data = 8'b00000000; //
11'h1d2: data = 8'b00000000; //
11'h1d3: data = 8'b00000000; //
11'h1d4: data = 8'b00000000; //
11'h1d5: data = 8'b00100100; // * *
11'h1d6: data = 8'b01100110; // ** **
11'h1d7: data = 8'b11111111; // ********
11'h1d8: data = 8'b01100110; // ** **
11'h1d9: data = 8'b00100100; // * *
11'h1da: data = 8'b00000000; //
11'h1db: data = 8'b00000000; //
11'h1dc: data = 8'b00000000; //
11'h1dd: data = 8'b00000000; //
11'h1de: data = 8'b00000000; //
11'h1df: data = 8'b00000000; //
//code x1e
11'h1e0: data = 8'b00000000; //
11'h1e1: data = 8'b00000000; //
11'h1e2: data = 8'b00000000; //
11'h1e3: data = 8'b00000000; //
11'h1e4: data = 8'b00010000; // *
11'h1e5: data = 8'b00111000; // ***
11'h1e6: data = 8'b00111000; // ***
11'h1e7: data = 8'b01111100; // *****
11'h1e8: data = 8'b01111100; // *****
11'h1e9: data = 8'b11111110; // *******
11'h1ea: data = 8'b11111110; // *******
11'h1eb: data = 8'b00000000; //
11'h1ec: data = 8'b00000000; //
11'h1ed: data = 8'b00000000; //
11'h1ee: data = 8'b00000000; //
11'h1ef: data = 8'b00000000; //
//code x1f
11'h1f0: data = 8'b00000000; //
11'h1f1: data = 8'b00000000; //
11'h1f2: data = 8'b00000000; //
11'h1f3: data = 8'b00000000; //
11'h1f4: data = 8'b11111110; // *******
11'h1f5: data = 8'b11111110; // *******
11'h1f6: data = 8'b01111100; // *****
11'h1f7: data = 8'b01111100; // *****
11'h1f8: data = 8'b00111000; // ***
11'h1f9: data = 8'b00111000; // ***
11'h1fa: data = 8'b00010000; // *
11'h1fb: data = 8'b00000000; //
11'h1fc: data = 8'b00000000; //
11'h1fd: data = 8'b00000000; //
11'h1fe: data = 8'b00000000; //
11'h1ff: data = 8'b00000000; //
//code x20
11'h200: data = 8'b00000000; //
11'h201: data = 8'b00000000; //
11'h202: data = 8'b00000000; //
11'h203: data = 8'b00000000; //
11'h204: data = 8'b00000000; //
11'h205: data = 8'b00000000; //
11'h206: data = 8'b00000000; //
11'h207: data = 8'b00000000; //
11'h208: data = 8'b00000000; //
11'h209: data = 8'b00000000; //
11'h20a: data = 8'b00000000; //
11'h20b: data = 8'b00000000; //
11'h20c: data = 8'b00000000; //
11'h20d: data = 8'b00000000; //
11'h20e: data = 8'b00000000; //
11'h20f: data = 8'b00000000; //
//code x21
11'h210: data = 8'b00000000; //
11'h211: data = 8'b00000000; //
11'h212: data = 8'b00011000; // **
11'h213: data = 8'b00111100; // ****
11'h214: data = 8'b00111100; // ****
11'h215: data = 8'b00111100; // ****
11'h216: data = 8'b00011000; // **
11'h217: data = 8'b00011000; // **
11'h218: data = 8'b00011000; // **
11'h219: data = 8'b00000000; //
11'h21a: data = 8'b00011000; // **
11'h21b: data = 8'b00011000; // **
11'h21c: data = 8'b00000000; //
11'h21d: data = 8'b00000000; //
11'h21e: data = 8'b00000000; //
11'h21f: data = 8'b00000000; //
//code x22
11'h220: data = 8'b00000000; //
11'h221: data = 8'b01100110; // ** **
11'h222: data = 8'b01100110; // ** **
11'h223: data = 8'b01100110; // ** **
11'h224: data = 8'b00100100; // * *
11'h225: data = 8'b00000000; //
11'h226: data = 8'b00000000; //
11'h227: data = 8'b00000000; //
11'h228: data = 8'b00000000; //
11'h229: data = 8'b00000000; //
11'h22a: data = 8'b00000000; //
11'h22b: data = 8'b00000000; //
11'h22c: data = 8'b00000000; //
11'h22d: data = 8'b00000000; //
11'h22e: data = 8'b00000000; //
11'h22f: data = 8'b00000000; //
//code x23
11'h230: data = 8'b00000000; //
11'h231: data = 8'b00000000; //
11'h232: data = 8'b00000000; //
11'h233: data = 8'b01101100; // ** **
11'h234: data = 8'b01101100; // ** **
11'h235: data = 8'b11111110; // *******
11'h236: data = 8'b01101100; // ** **
11'h237: data = 8'b01101100; // ** **
11'h238: data = 8'b01101100; // ** **
11'h239: data = 8'b11111110; // *******
11'h23a: data = 8'b01101100; // ** **
11'h23b: data = 8'b01101100; // ** **
11'h23c: data = 8'b00000000; //
11'h23d: data = 8'b00000000; //
11'h23e: data = 8'b00000000; //
11'h23f: data = 8'b00000000; //
//code x24
11'h240: data = 8'b00011000; // **
11'h241: data = 8'b00011000; // **
11'h242: data = 8'b01111100; // *****
11'h243: data = 8'b11000110; // ** **
11'h244: data = 8'b11000010; // ** *
11'h245: data = 8'b11000000; // **
11'h246: data = 8'b01111100; // *****
11'h247: data = 8'b00000110; // **
11'h248: data = 8'b00000110; // **
11'h249: data = 8'b10000110; // * **
11'h24a: data = 8'b11000110; // ** **
11'h24b: data = 8'b01111100; // *****
11'h24c: data = 8'b00011000; // **
11'h24d: data = 8'b00011000; // **
11'h24e: data = 8'b00000000; //
11'h24f: data = 8'b00000000; //
//code x25
11'h250: data = 8'b00000000; //
11'h251: data = 8'b00000000; //
11'h252: data = 8'b00000000; //
11'h253: data = 8'b00000000; //
11'h254: data = 8'b11000010; // ** *
11'h255: data = 8'b11000110; // ** **
11'h256: data = 8'b00001100; // **
11'h257: data = 8'b00011000; // **
11'h258: data = 8'b00110000; // **
11'h259: data = 8'b01100000; // **
11'h25a: data = 8'b11000110; // ** **
11'h25b: data = 8'b10000110; // * **
11'h25c: data = 8'b00000000; //
11'h25d: data = 8'b00000000; //
11'h25e: data = 8'b00000000; //
11'h25f: data = 8'b00000000; //
//code x26
11'h260: data = 8'b00000000; //
11'h261: data = 8'b00000000; //
11'h262: data = 8'b00111000; // ***
11'h263: data = 8'b01101100; // ** **
11'h264: data = 8'b01101100; // ** **
11'h265: data = 8'b00111000; // ***
11'h266: data = 8'b01110110; // *** **
11'h267: data = 8'b11011100; // ** ***
11'h268: data = 8'b11001100; // ** **
11'h269: data = 8'b11001100; // ** **
11'h26a: data = 8'b11001100; // ** **
11'h26b: data = 8'b01110110; // *** **
11'h26c: data = 8'b00000000; //
11'h26d: data = 8'b00000000; //
11'h26e: data = 8'b00000000; //
11'h26f: data = 8'b00000000; //
//code x27
11'h270: data = 8'b00000000; //
11'h271: data = 8'b00110000; // **
11'h272: data = 8'b00110000; // **
11'h273: data = 8'b00110000; // **
11'h274: data = 8'b01100000; // **
11'h275: data = 8'b00000000; //
11'h276: data = 8'b00000000; //
11'h277: data = 8'b00000000; //
11'h278: data = 8'b00000000; //
11'h279: data = 8'b00000000; //
11'h27a: data = 8'b00000000; //
11'h27b: data = 8'b00000000; //
11'h27c: data = 8'b00000000; //
11'h27d: data = 8'b00000000; //
11'h27e: data = 8'b00000000; //
11'h27f: data = 8'b00000000; //
//code x28
11'h280: data = 8'b00000000; //
11'h281: data = 8'b00000000; //
11'h282: data = 8'b00001100; // **
11'h283: data = 8'b00011000; // **
11'h284: data = 8'b00110000; // **
11'h285: data = 8'b00110000; // **
11'h286: data = 8'b00110000; // **
11'h287: data = 8'b00110000; // **
11'h288: data = 8'b00110000; // **
11'h289: data = 8'b00110000; // **
11'h28a: data = 8'b00011000; // **
11'h28b: data = 8'b00001100; // **
11'h28c: data = 8'b00000000; //
11'h28d: data = 8'b00000000; //
11'h28e: data = 8'b00000000; //
11'h28f: data = 8'b00000000; //
//code x29
11'h290: data = 8'b00000000; //
11'h291: data = 8'b00000000; //
11'h292: data = 8'b00110000; // **
11'h293: data = 8'b00011000; // **
11'h294: data = 8'b00001100; // **
11'h295: data = 8'b00001100; // **
11'h296: data = 8'b00001100; // **
11'h297: data = 8'b00001100; // **
11'h298: data = 8'b00001100; // **
11'h299: data = 8'b00001100; // **
11'h29a: data = 8'b00011000; // **
11'h29b: data = 8'b00110000; // **
11'h29c: data = 8'b00000000; //
11'h29d: data = 8'b00000000; //
11'h29e: data = 8'b00000000; //
11'h29f: data = 8'b00000000; //
//code x2a
11'h2a0: data = 8'b00000000; //
11'h2a1: data = 8'b00000000; //
11'h2a2: data = 8'b00000000; //
11'h2a3: data = 8'b00000000; //
11'h2a4: data = 8'b00000000; //
11'h2a5: data = 8'b01100110; // ** **
11'h2a6: data = 8'b00111100; // ****
11'h2a7: data = 8'b11111111; // ********
11'h2a8: data = 8'b00111100; // ****
11'h2a9: data = 8'b01100110; // ** **
11'h2aa: data = 8'b00000000; //
11'h2ab: data = 8'b00000000; //
11'h2ac: data = 8'b00000000; //
11'h2ad: data = 8'b00000000; //
11'h2ae: data = 8'b00000000; //
11'h2af: data = 8'b00000000; //
//code x2b
11'h2b0: data = 8'b00000000; //
11'h2b1: data = 8'b00000000; //
11'h2b2: data = 8'b00000000; //
11'h2b3: data = 8'b00000000; //
11'h2b4: data = 8'b00000000; //
11'h2b5: data = 8'b00011000; // **
11'h2b6: data = 8'b00011000; // **
11'h2b7: data = 8'b01111110; // ******
11'h2b8: data = 8'b00011000; // **
11'h2b9: data = 8'b00011000; // **
11'h2ba: data = 8'b00000000; //
11'h2bb: data = 8'b00000000; //
11'h2bc: data = 8'b00000000; //
11'h2bd: data = 8'b00000000; //
11'h2be: data = 8'b00000000; //
11'h2bf: data = 8'b00000000; //
//code x2c
11'h2c0: data = 8'b00000000; //
11'h2c1: data = 8'b00000000; //
11'h2c2: data = 8'b00000000; //
11'h2c3: data = 8'b00000000; //
11'h2c4: data = 8'b00000000; //
11'h2c5: data = 8'b00000000; //
11'h2c6: data = 8'b00000000; //
11'h2c7: data = 8'b00000000; //
11'h2c8: data = 8'b00000000; //
11'h2c9: data = 8'b00011000; // **
11'h2ca: data = 8'b00011000; // **
11'h2cb: data = 8'b00011000; // **
11'h2cc: data = 8'b00110000; // **
11'h2cd: data = 8'b00000000; //
11'h2ce: data = 8'b00000000; //
11'h2cf: data = 8'b00000000; //
//code x2d
11'h2d0: data = 8'b00000000; //
11'h2d1: data = 8'b00000000; //
11'h2d2: data = 8'b00000000; //
11'h2d3: data = 8'b00000000; //
11'h2d4: data = 8'b00000000; //
11'h2d5: data = 8'b00000000; //
11'h2d6: data = 8'b00000000; //
11'h2d7: data = 8'b01111110; // ******
11'h2d8: data = 8'b00000000; //
11'h2d9: data = 8'b00000000; //
11'h2da: data = 8'b00000000; //
11'h2db: data = 8'b00000000; //
11'h2dc: data = 8'b00000000; //
11'h2dd: data = 8'b00000000; //
11'h2de: data = 8'b00000000; //
11'h2df: data = 8'b00000000; //
//code x2e
11'h2e0: data = 8'b00000000; //
11'h2e1: data = 8'b00000000; //
11'h2e2: data = 8'b00000000; //
11'h2e3: data = 8'b00000000; //
11'h2e4: data = 8'b00000000; //
11'h2e5: data = 8'b00000000; //
11'h2e6: data = 8'b00000000; //
11'h2e7: data = 8'b00000000; //
11'h2e8: data = 8'b00000000; //
11'h2e9: data = 8'b00000000; //
11'h2ea: data = 8'b00011000; // **
11'h2eb: data = 8'b00011000; // **
11'h2ec: data = 8'b00000000; //
11'h2ed: data = 8'b00000000; //
11'h2ee: data = 8'b00000000; //
11'h2ef: data = 8'b00000000; //
//code x2f
11'h2f0: data = 8'b00000000; //
11'h2f1: data = 8'b00000000; //
11'h2f2: data = 8'b00000000; //
11'h2f3: data = 8'b00000000; //
11'h2f4: data = 8'b00000010; // *
11'h2f5: data = 8'b00000110; // **
11'h2f6: data = 8'b00001100; // **
11'h2f7: data = 8'b00011000; // **
11'h2f8: data = 8'b00110000; // **
11'h2f9: data = 8'b01100000; // **
11'h2fa: data = 8'b11000000; // **
11'h2fb: data = 8'b10000000; // *
11'h2fc: data = 8'b00000000; //
11'h2fd: data = 8'b00000000; //
11'h2fe: data = 8'b00000000; //
11'h2ff: data = 8'b00000000; //
//code x30
11'h300: data = 8'b00000000; //
11'h301: data = 8'b00000000; //
11'h302: data = 8'b01111100; // *****
11'h303: data = 8'b11000110; // ** **
11'h304: data = 8'b11000110; // ** **
11'h305: data = 8'b11001110; // ** ***
11'h306: data = 8'b11011110; // ** ****
11'h307: data = 8'b11110110; // **** **
11'h308: data = 8'b11100110; // *** **
11'h309: data = 8'b11000110; // ** **
11'h30a: data = 8'b11000110; // ** **
11'h30b: data = 8'b01111100; // *****
11'h30c: data = 8'b00000000; //
11'h30d: data = 8'b00000000; //
11'h30e: data = 8'b00000000; //
11'h30f: data = 8'b00000000; //
//code x31
11'h310: data = 8'b00000000; //
11'h311: data = 8'b00000000; //
11'h312: data = 8'b00011000; //
11'h313: data = 8'b00111000; //
11'h314: data = 8'b01111000; // **
11'h315: data = 8'b00011000; // ***
11'h316: data = 8'b00011000; // ****
11'h317: data = 8'b00011000; // **
11'h318: data = 8'b00011000; // **
11'h319: data = 8'b00011000; // **
11'h31a: data = 8'b00011000; // **
11'h31b: data = 8'b01111110; // **
11'h31c: data = 8'b00000000; // **
11'h31d: data = 8'b00000000; // ******
11'h31e: data = 8'b00000000; //
11'h31f: data = 8'b00000000; //
//code x32
11'h320: data = 8'b00000000; //
11'h321: data = 8'b00000000; //
11'h322: data = 8'b01111100; // *****
11'h323: data = 8'b11000110; // ** **
11'h324: data = 8'b00000110; // **
11'h325: data = 8'b00001100; // **
11'h326: data = 8'b00011000; // **
11'h327: data = 8'b00110000; // **
11'h328: data = 8'b01100000; // **
11'h329: data = 8'b11000000; // **
11'h32a: data = 8'b11000110; // ** **
11'h32b: data = 8'b11111110; // *******
11'h32c: data = 8'b00000000; //
11'h32d: data = 8'b00000000; //
11'h32e: data = 8'b00000000; //
11'h32f: data = 8'b00000000; //
//code x33
11'h330: data = 8'b00000000; //
11'h331: data = 8'b00000000; //
11'h332: data = 8'b01111100; // *****
11'h333: data = 8'b11000110; // ** **
11'h334: data = 8'b00000110; // **
11'h335: data = 8'b00000110; // **
11'h336: data = 8'b00111100; // ****
11'h337: data = 8'b00000110; // **
11'h338: data = 8'b00000110; // **
11'h339: data = 8'b00000110; // **
11'h33a: data = 8'b11000110; // ** **
11'h33b: data = 8'b01111100; // *****
11'h33c: data = 8'b00000000; //
11'h33d: data = 8'b00000000; //
11'h33e: data = 8'b00000000; //
11'h33f: data = 8'b00000000; //
//code x34
11'h340: data = 8'b00000000; //
11'h341: data = 8'b00000000; //
11'h342: data = 8'b00001100; // **
11'h343: data = 8'b00011100; // ***
11'h344: data = 8'b00111100; // ****
11'h345: data = 8'b01101100; // ** **
11'h346: data = 8'b11001100; // ** **
11'h347: data = 8'b11111110; // *******
11'h348: data = 8'b00001100; // **
11'h349: data = 8'b00001100; // **
11'h34a: data = 8'b00001100; // **
11'h34b: data = 8'b00011110; // ****
11'h34c: data = 8'b00000000; //
11'h34d: data = 8'b00000000; //
11'h34e: data = 8'b00000000; //
11'h34f: data = 8'b00000000; //
//code x35
11'h350: data = 8'b00000000; //
11'h351: data = 8'b00000000; //
11'h352: data = 8'b11111110; // *******
11'h353: data = 8'b11000000; // **
11'h354: data = 8'b11000000; // **
11'h355: data = 8'b11000000; // **
11'h356: data = 8'b11111100; // ******
11'h357: data = 8'b00000110; // **
11'h358: data = 8'b00000110; // **
11'h359: data = 8'b00000110; // **
11'h35a: data = 8'b11000110; // ** **
11'h35b: data = 8'b01111100; // *****
11'h35c: data = 8'b00000000; //
11'h35d: data = 8'b00000000; //
11'h35e: data = 8'b00000000; //
11'h35f: data = 8'b00000000; //
//code x36
11'h360: data = 8'b00000000; //
11'h361: data = 8'b00000000; //
11'h362: data = 8'b00111000; // ***
11'h363: data = 8'b01100000; // **
11'h364: data = 8'b11000000; // **
11'h365: data = 8'b11000000; // **
11'h366: data = 8'b11111100; // ******
11'h367: data = 8'b11000110; // ** **
11'h368: data = 8'b11000110; // ** **
11'h369: data = 8'b11000110; // ** **
11'h36a: data = 8'b11000110; // ** **
11'h36b: data = 8'b01111100; // *****
11'h36c: data = 8'b00000000; //
11'h36d: data = 8'b00000000; //
11'h36e: data = 8'b00000000; //
11'h36f: data = 8'b00000000; //
//code x37
11'h370: data = 8'b00000000; //
11'h371: data = 8'b00000000; //
11'h372: data = 8'b11111110; // *******
11'h373: data = 8'b11000110; // ** **
11'h374: data = 8'b00000110; // **
11'h375: data = 8'b00000110; // **
11'h376: data = 8'b00001100; // **
11'h377: data = 8'b00011000; // **
11'h378: data = 8'b00110000; // **
11'h379: data = 8'b00110000; // **
11'h37a: data = 8'b00110000; // **
11'h37b: data = 8'b00110000; // **
11'h37c: data = 8'b00000000; //
11'h37d: data = 8'b00000000; //
11'h37e: data = 8'b00000000; //
11'h37f: data = 8'b00000000; //
//code x38
11'h380: data = 8'b00000000; //
11'h381: data = 8'b00000000; //
11'h382: data = 8'b01111100; // *****
11'h383: data = 8'b11000110; // ** **
11'h384: data = 8'b11000110; // ** **
11'h385: data = 8'b11000110; // ** **
11'h386: data = 8'b01111100; // *****
11'h387: data = 8'b11000110; // ** **
11'h388: data = 8'b11000110; // ** **
11'h389: data = 8'b11000110; // ** **
11'h38a: data = 8'b11000110; // ** **
11'h38b: data = 8'b01111100; // *****
11'h38c: data = 8'b00000000; //
11'h38d: data = 8'b00000000; //
11'h38e: data = 8'b00000000; //
11'h38f: data = 8'b00000000; //
//code x39
11'h390: data = 8'b00000000; //
11'h391: data = 8'b00000000; //
11'h392: data = 8'b01111100; // *****
11'h393: data = 8'b11000110; // ** **
11'h394: data = 8'b11000110; // ** **
11'h395: data = 8'b11000110; // ** **
11'h396: data = 8'b01111110; // ******
11'h397: data = 8'b00000110; // **
11'h398: data = 8'b00000110; // **
11'h399: data = 8'b00000110; // **
11'h39a: data = 8'b00001100; // **
11'h39b: data = 8'b01111000; // ****
...
This file has been truncated, please download it to see its full contents.
8 projects • 8 followers
I am Srimanth Tenneti a hardware designer and programmer currently working as an intern at Apple.
Comments
Please log in or sign up to comment.